虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

例如:google学术、twitter

  • 单片机课程总结

    单片机基础知识单片机的外部结构:1、 DIP40双列直插;2、 P0,P1,P2,P3四个8位准双向I/O引脚;(作为I/O输入时,要先输出高电平)3、 电源VCC(PIN40)和地线GND(PIN20);4、 高电平复位RESET(PIN9);(10uF电容接VCC与RESET,即可实现上电复位)5、 内置振荡电路,外部只要接晶体至X1(PIN18)和X0(PIN19);(频率为主频的12倍)6、 程序配置EA(PIN31)接高电平VCC;(运行单片机内部ROM中的程序)7、 P3支持第二功能:RXD、TXD、INT0、INT1、T0、T1 单片机内部I/O部件:(所为学习单片机,实际上就是编程控制以下I/O部件,完成指定任务)1、 四个8位通用I/O端口,对应引脚P0、P1、P2和P3;2、 两个16位定时计数器;(TMOD,TCON,TL0,TH0,TL1,TH1)3、 一个串行通信接口;(SCON,SBUF)4、 一个中断控制器;(IE,IP)针对AT89C52单片机,头文件AT89x52.h给出了SFR特殊功能寄存器所有端口的定义。教科书的160页给出了针对MCS51系列单片机的C语言扩展变量类型。 C语言编程基础:1、 十六进制表示字节0x5a:二进制为01011010B;0x6E为01101110。2、 如果将一个16位二进数赋给一个8位的字节变量,则自动截断为低8位,而丢掉高8位。3、 ++var表示对变量var先增一;var—表示对变量后减一。4、 x |= 0x0f;表示为 x = x | 0x0f;5、 TMOD = ( TMOD & 0xf0 ) | 0x05;表示给变量TMOD的低四位赋值0x5,而不改变TMOD的高四位。6、 While( 1 ); 表示无限执行该语句,即死循环。语句后的分号表示空循环体,也就是{;}第一章    单片机最小应用系统:单片机最小系统的硬件原理接线图:1、 接电源:VCC(PIN40)、GND(PIN20)。加接退耦电容0.1uF2、 接晶体:X1(PIN18)、X2(PIN19)。注意标出晶体频率(选用12MHz),还有辅助电容30pF3、 接复位:RES(PIN9)。接上电复位电路,以及手动复位电路,分析复位工作原理4、 接配置:EA(PIN31)。说明原因。第二章      基本I/O口的应用第三章      显示驱动第七章      串行接口应用

    标签: 单片机

    上传时间: 2013-10-30

    上传用户:athjac

  • pic单片机实用教程(提高篇)

    pic单片机实用教程(提高篇)以介绍PIC16F87X型号单片机为主,并适当兼顾PIC全系列,共分9章,内容包括:存储器;I/O端口的复位功能;定时器/计数器TMR1;定时器TMR2;输入捕捉/输出比较/脉宽调制CCP;模/数转换器ADC;通用同步/异步收发器USART;主控同步串行端口MSSP:SPI模式和I2C模式。突出特点:通俗易懂、可读性强、系统全面、学练结合、学用并重、实例丰富、习题齐全。<br>本书作为Microchip公司大学计划选择用书,可广泛适用于初步具备电子技术基础和计算机知识基础的学生、教师、单片机爱好者、电子制作爱好者、电器维修人员、电子产品开发设计者、工程技术人员阅读。本教程全书共分2篇,即基础篇和提高篇,分2册出版,以适应不同课时和不同专业的需要,也为教师和读者增加了一种可选方案。 第1章 EEPROM数据存储器和FIASH程序存储器1.1 背景知识1.1.1 通用型半导体存储器的种类和特点1.1.2 PIC单片机内部的程序存储器1.1.3 PIC单片机内部的EEPROM数据存储器1.1.4 PIC16F87X内部EEPROM和FIASH操作方法1.2 与EEPROM相关的寄存器1.3 片内EEPROM数据存储器结构和操作原理1.3.1 从EEPROM中读取数据1.3.2 向EEPROM中烧写数据1.4 与FLASH相关的寄存器1.5 片内FLASH程序存储器结构和操作原理1.5.1 读取FLASH程序存储器1.5.2 烧写FLASH程序存储器1.6 写操作的安全保障措施1.6.1 写入校验方法1.6.2 预防意外写操作的保障措施1.7 EEPROM和FLASH应用举例1.7.1 EEPROM的应用1.7.2 FIASH的应用思考题与练习题第2章 输入/输出端口的复合功能2.1 RA端口2.1.1 与RA端口相关的寄存器2.1.2 电路结构和工作原理2.1.3 编程方法2.2 RB端口2.2.1 与RB端口相关的寄存器2.2.2 电路结构和工作原理2.2.3 编程方法2.3 RC端口2.3.1 与RC端口相关的寄存器2.3.2 电路结构和工作原理2.3.3 编程方法2.4 RD端口2.4.1 与RD端口相关的寄存器2.4.2 电路结构和工作原理2.4.3 编程方法2.5 RE端口2.5.1 与RE端口相关的寄存器2.5.2 电路结构和工作原理2.5.3 编程方法2.6 PSP并行从动端口2.6.1 与PSP端口相关的寄存器2.6.2 电路结构和工作原理2.7 应用举例思考题与练习题第3章 定时器/计数器TMR13.1 定时器/计数器TMR1模块的特性3.2 定时器/计数器TMR1模块相关的寄存器3.3 定时器/计数器TMR1模块的电路结构3.4 定时器/计数器TMR1模块的工作原理3.4.1 禁止TMR1工作3.4.2 定时器工作方式3.4.3 计数器工作方式3.4.4 TMR1寄存器的赋值与复位3.5 定时器/计数器TMR1模块的应用举例思考题与练习题第4章 定时器TMR24.1 定时器TMR2模块的特性4.2 定时器TMR2模块相关的寄存器4.3 定时器TMR2模块的电路结构4.4 定时器TMR2模块的工作原理4.4.1 禁止TMR2工作4.4.2 定时器工作方式4.4.3 寄存器TMR2和PR2以及分频器的复位4.4.4 TMR2模块的初始化编程4.5 定时器TMR2模块的应用举例思考题与练习题第5章 输入捕捉/输出比较/脉宽调制CCP5.1 输入捕捉工作模式5.1.1 输入捕捉摸式相关的寄存器5.1.2 输入捕捉模式的电路结构5.1.3 输入捕捉摸式的工作原理5.1.4 输入捕捉摸式的应用举例5.2 输出比较工作模式5.2.1 输出比较模式相关的寄存器5.2.2 输出比较模式的电路结构5.2.3 输出比较模式的工作原理5.2.4 输出比较模式的应用举例5.3 脉宽调制输出工作模式5.3.1 脉宽调制模式相关的寄存器5.3.2 脉宽调制模式的电路结构5.3.3 脉宽调制模式的工作原理5.3.4 脉定调制模式的应用举例5.4 两个CCP模块之间相互关系思考题与练习题第6章 模/数转换器ADC6.1 背景知识6.1.1 ADC种类与特点6.1.2 ADC器件的工作原理6.2 PIC16F87X片内ADC模块6.2.1 ADC模块相关的寄存器6.2.2 ADC模块结构和操作原理6.2.3 ADC模块操作时间要求6.2.4 特殊情况下的A/D转换6.2.5 ADC模块的转换精度和分辨率6.2.6 ADC模块的内部动作流程和传递函数6.2.7 ADC模块的操作编程6.3 PIC16F87X片内ADC模块的应用举例思考题与练习题第7章 通用同步/异步收发器USART7.1 串行通信的基本概念7.1.1 串行通信的两种基本方式7.1.2 串行通信中数据传送方向7.1.3 串行通信中的控制方式7.1.4 串行通信中的码型、编码方式和帧结构7.1.5 串行通信中的检错和纠错方式7.1.6 串行通信组网方式7.1.7 串行通信接口电路和参数7.1.8 串行通信的传输速率7.2 PIC16F87X片内通用同步/异步收发器USART模块7.2.1 与USART模块相关的寄存器7.2.2 USART波特率发生器BRG7.2.3 USART模块的异步工作方式7.2.4 USART模块的同步主控工作方式7.2.5 USART模块的同步从动工作方式7.3 通用同步/异步收发器USART的应用举例思考题与练习题第8章 主控同步串行端口MSSP——SPI模式8.1 SPI接口的背景知识8.1.1 SPI接口信号描述8.1.2 基于SPI的系统构成方式8.1.3 SPI接口工作原理8.1.4 兼容的MicroWire接口8.2 PIC16F87X的SPI接口8.2.1 SPI接口相关的寄存器8.2.2 SPI接口的结构和操作原理8.2.3 SPI接口的主控方式8.2.4 SPI接口的从动方式8.3 SPI接口的应用举例思考题与练习题第9章 主控同步串行端口MSSP——I(平方)C模式9.1 I(平方)C总线的背景知识9.1.1 名词术语9.1.2 I(平方)C总线的技术特点9.1.3 I(平方)C总线的基本工作原理9.1.4 I(平方)C总线信号时序分析9.1.5 信号传送格式9.1.6 寻址约定9.1.7 技术参数9.1.8 I(平方)C器件与I(平方)C总线的接线方式9.1.9 相兼容的SMBus总线9.2 与I(平方)C总线相关的寄存器9.3 典型信号时序的产生方法9.3.1 波特率发生器9.3.2 启动信号9.3.3 重启动信号9.3.4 应答信号9.3.5 停止信号9.4 被控器通信方式9.4.1 硬件结构9.4.2 被主控器寻址9.4.3 被控器接收——被控接收器9.4.4 被控器发送——被控发送器9.4.5 广播式寻址9.5 主控器通信方式9.5.1 硬件结构9.5.2 主控器发送——主控发送器9.5.3 主控器接收——主控接收器9.6 多主通信方式下的总线冲突和总线仲裁9.6.1 发送和应答过程中的总线冲突9.6.2 启动过程中的总线冲突9.6.3 重启动过程中的总线冲突9.6.4 停止过程中的总线冲突9.7 I(平方)C总线的应用举例思考题与练习题附录A 包含文件P16F877.INC附录B 新版宏汇编器MPASM伪指令总表参考文献

    标签: pic 单片机 实用教程

    上传时间: 2013-12-14

    上传用户:xiaoyuer

  • pic单片机实用教程(基础篇)

    pic单片机实用教程以介绍PIC16F877型号单片机为主,并适当兼顾PIC全系列,共分10章,内容包括:基本概念;PIC16F87X硬件概况;指令系统;汇编程序设计;集成开发环境;在线调试工具;I/O端口;定时器;中断;安全措施和降耗设计。突出特点:通俗易懂、可读性强、系统全面、学练结合、学用并重、实例丰富、习题齐全。本教程全书共分2篇,即基础篇和提高篇,分2册出版,以适应不同课时和不同专业的需要,也为教师和读者增加了一种可选方案。pic单片机实用教程目录第1章 单片机的基本概念第2章 PIC16F87X硬件系统概况第3章 指令系统第4章 PIC汇编语言程序设计基础第5章 MPLAB集成开发环境软件包第6章 MPLAB-ICD在线调试工具套件及其应用第7章 输入/输出端口的基本功能第8章 定时器/计数器TMR0第9章 中断系统第10章 安全生产、可靠运行措施和降耗设计附录

    标签: pic 单片机 实用教程

    上传时间: 2013-10-12

    上传用户:lyson

  • MCS-51单片机原理与应用

    单片机原理与应用《课程简介》:单片机已成为电子系统中进行数据采集、信息处理、通信联络和实施控制的重要器件。通常利用单片机技术在各种系统、仪器设备或装置中,形成嵌入式智能系统或子系统。因此,单片机技术是电类专业特别是电子信息类学生必须具备的基本功。本课程以51系列单片机为模型,主要向学生介绍单片机的基本结构、工作原理、指令系统与程序设计、系统扩展与工程应用。作为微机原理与接口技术的后续课程,本课程强调实践环节,侧重系统构成与应用设计。力求通过实践环节,软、硬结合,培养初步的单片机开发能力,并使其前导课程讲授的基本概念得到综合与深化。由于课时的限制,综合性的应用设计安排在后续课程《微机应用系统设计》中进行。 课 程 内 容:第一章  单片微型计算机概述单片机的发展与应用   MCS-51系列单片机简介第二章  MCS-51系列单片机结构MCS-51单片机基本结构  CPU  时序简介  存储器空间结构  片内RAM与SFR时钟电路与复位电路  并行I/O口与总线扩展第三章  MCS-51单片机指令系统指令系统简介数据传送指令 数据处理指令 位处理指令 程序控制指令汇编语言程序设计方法  程序调试的常用方法第四章  SCB-I 单片单板机SCB-I 单片单板机结构简介  监控系统简介SCB-I 单片单板机的基本操作 第五章  单片机常用接口电路的软、硬件设计LED显示接口电路与应用编程键盘接口电路与应用编程计数器/定时器工作原理及其应用编程MCS-51中断系统及其应用编程8255扩展并行接口及其应用编程串行通信接口及其应用编程A/D与D/A转换接口及其应用编程*第六章  单片机应用系统设计举例第七章 单片机开发工具简介*                                     加“*”为选讲内容教学要求:1、 了解单片机的一般性概念及单片机技术的发展。2、 掌握51系列单片机的基本结构与工作原理。3、 掌握51系列单片机的指令系统与程序设计的基本方法。4、 以单片单板机为样板,掌握51系列单片机的系统扩展设计。5、 通过实验,掌握单片机常用接口电路的软硬件设计及其应用。6、 以上为本课程的基本要求。作为提高要求,对有能力、有兴趣的学生,若能较快地完成基本实验,可在规定课时内安排有一定难度的综合性实验,以提高其应用设计的能力。 课时安排和考核方式:1、 讲课40学时,实验20学时,课内外学时比 1:2 ;(实验从第七周开始,7个基本实验,选做1个综合实验)2、 考核方式平时考查  20实验考核  40(含实验过程、实验验收与实验报告)期末笔试  40参考书:《MCS-51单片机应用设计》     张毅刚 等编         哈尔滨工业大学出版社《MCS-51系列单片机原理及应用》     孙涵芳 徐爱卿 编著  北京航空航天大学出版社《单片微机与测控技术》     赵秀菊 等编         东南大学出版社《单片微型机原理、应用与实验》     张友德 等编         复旦大学出版社 《单片机实验》     肖璋  雷兆宜 编     暨南大学讲义

    标签: MCS 51 单片机原理

    上传时间: 2014-01-08

    上传用户:417313137

  • realview mdk视频教程(PPT)

    realview mdk视频教程(PPT由西安毕博制作的MDK指导视频,下载后打开Realview mdk1.htm页面即可播放,内容包括:模拟仿真、开发环境的建立、启动代码概述等,是您尽快上手MDK的好工具,赶快下载吧!

    标签: realview mdk 视频教程

    上传时间: 2013-11-02

    上传用户:范缜东苑

  • Verilog_HDL的基本语法详解(夏宇闻版)

            Verilog_HDL的基本语法详解(夏宇闻版):Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结构描述的语言。这也就是说,既可以用电路的功能描述也可以用元器件和它们之间的连接来建立所设计电路的Verilog HDL模型。Verilog模型可以是实际电路的不同级别的抽象。这些抽象的级别和它们对应的模型类型共有以下五种:   系统级(system):用高级语言结构实现设计模块的外部性能的模型。   算法级(algorithm):用高级语言结构实现设计算法的模型。   RTL级(Register Transfer Level):描述数据在寄存器之间流动和如何处理这些数据的模型。   门级(gate-level):描述逻辑门以及逻辑门之间的连接的模型。   开关级(switch-level):描述器件中三极管和储存节点以及它们之间连接的模型。   一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。   Verilog HDL行为描述语言作为一种结构化和过程性的语言,其语法结构非常适合于算法级和RTL级的模型设计。这种行为描述语言具有以下功能:   · 可描述顺序执行或并行执行的程序结构。   · 用延迟表达式或事件表达式来明确地控制过程的启动时间。   · 通过命名的事件来触发其它过程里的激活行为或停止行为。   · 提供了条件、if-else、case、循环程序结构。   · 提供了可带参数且非零延续时间的任务(task)程序结构。   · 提供了可定义新的操作符的函数结构(function)。   · 提供了用于建立表达式的算术运算符、逻辑运算符、位运算符。   · Verilog HDL语言作为一种结构化的语言也非常适合于门级和开关级的模型设计。因其结构化的特点又使它具有以下功能:   - 提供了完整的一套组合型原语(primitive);   - 提供了双向通路和电阻器件的原语;   - 可建立MOS器件的电荷分享和电荷衰减动态模型。   Verilog HDL的构造性语句可以精确地建立信号的模型。这是因为在Verilog HDL中,提供了延迟和输出强度的原语来建立精确程度很高的信号模型。信号值可以有不同的的强度,可以通过设定宽范围的模糊值来降低不确定条件的影响。   Verilog HDL作为一种高级的硬件描述编程语言,有着类似C语言的风格。其中有许多语句如:if语句、case语句等和C语言中的对应语句十分相似。如果读者已经掌握C语言编程的基础,那么学习Verilog HDL并不困难,我们只要对Verilog HDL某些语句的特殊方面着重理解,并加强上机练习就能很好地掌握它,利用它的强大功能来设计复杂的数字逻辑电路。下面我们将对Verilog HDL中的基本语法逐一加以介绍。

    标签: Verilog_HDL

    上传时间: 2013-11-23

    上传用户:青春给了作业95

  • Altera 28nm FPGA芯片精彩剖析

    电子发烧友网讯: Altera公司 28nm FPGA系列芯片共包括三大系列:Stratix V、Arria V与Cyclone V系列芯片。近日,Altera公司也正式宣布该三大系列芯片已全部开始量产出货。Altera公司凭借着其28nm FPGA芯片在性能和成本上的优势,未来的前景势必无法估量。通过本文对Altera公司 28nm FPGA系列芯片的基本性能、市场优势、型号差异以及典型应用等介绍,电子发烧友网小编将带领大家一起来感受Altera公司28nm FPGA系列芯片的“雄韬伟略”,深入阐述如何更好地为你未来的设计选择相应的Altera 28nm FPGA 芯片。  

    标签: Altera FPGA 28 nm

    上传时间: 2013-11-21

    上传用户:ZZJ886

  • 成为Xilinx FPGA设计专家(基础篇)

      之前也一直在做关于Xilinx FPGA各个方面的文章,但是总体而言就显得有些杂,总希望能有人能整理一下便于查阅;另外针对目前电子发烧友网举办的“玩转FPGA:iPad2,赛灵思开发板等你拿”,小编在电话回访过程中留意到有很多参赛选手对Xilinx 公司的FPGA及其设计流程不是很熟悉,所以想了想,最终还是决定自己动手整合一下。一方面给自己梳理梳理相关知识架构,另一方面的话,跟大家分享分享,希望对大家有所帮助,当然更加希望Xilinx? FPGA工程师/爱好者能跟我们一起来探讨学习!《成为Xilinx FPGA设计专家》这本电子书,计划分为3大部分:基础篇、提升篇、高级篇。   当然这里讲的就是《成为Xilinx FPGA设计专家》(基础篇)。本电子书主要论述了等相关内容。本电子书旨在解决工程师日常设计中所需的基础知识,希望这本电子书可以对各位Xilinx? FPGA工程师/爱好者有所帮助。

    标签: Xilinx FPGA

    上传时间: 2013-11-02

    上传用户:q986086481

  • FPGA连接DDR2的问题讨论

    我采用XC4VSX35或XC4VLX25 FPGA来连接DDR2 SODIMM和元件。SODIMM内存条选用MT16HTS51264HY-667(4GB),分立器件选用8片MT47H512M8。设计目标:当客户使用内存条时,8片分立器件不焊接;当使用直接贴片分立内存颗粒时,SODIMM内存条不安装。请问专家:1、在设计中,先用Xilinx MIG工具生成DDR2的Core后,管脚约束文件是否还可更改?若能更改,则必须要满足什么条件下更改?生成的约束文件中,ADDR,data之间是否能调换? 2、对DDR2数据、地址和控制线路的匹配要注意些什么?通过两只100欧的电阻分别连接到1.8V和GND进行匹配 和 通过一只49.9欧的电阻连接到0.9V进行匹配,哪种匹配方式更好? 3、V4中,PCB LayOut时,DDR2线路阻抗单端为50欧,差分为100欧?Hyperlynx仿真时,那些参数必须要达到那些指标DDR2-667才能正常工作? 4、 若使用DDR2-667的SODIMM内存条,能否降速使用?比如降速到DDR2-400或更低频率使用? 5、板卡上有SODIMM的插座,又有8片内存颗粒,则物理上两部分是连在一起的,若实际使用时,只安装内存条或只安装8片内存颗粒,是否会造成信号完成性的影响?若有影响,如何控制? 6、SODIMM内存条(max:4GB)能否和8片分立器件(max:4GB)组合同时使用,构成一个(max:8GB)的DDR2单元?若能,则布线阻抗和FPGA的DCI如何控制?地址和控制线的TOP图应该怎样? 7、DDR2和FPGA(VREF pin)的参考电压0.9V的实际工作电流有多大?工作时候,DDR2芯片是否很烫,一般如何考虑散热? 8、由于多层板叠层的问题,可能顶层和中间层的铜箔不一样后,中间的夹层后度不一样时,也可能造成阻抗的不同。请教DDR2-667的SODIMM在8层板上的推进叠层?

    标签: FPGA DDR2 连接 问题讨论

    上传时间: 2013-10-12

    上传用户:han_zh

  • 法拉电容 卷绕型2.7V系列

    目前公司产品涉及到消费电子类、工业用电器、光电、太阳能、航天、运输、交通能源、军工等广泛领域。 法拉电容、超级电容器 特点:超低内阻,超低漏电流,提供瞬时功率输出、两种动力源互相切换时的功率支持,应用于能量充足,功率匮乏的能源:如太阳能 应用:作为发动机、备用电源、汽车音响、智能厨房卫浴设备、公共汽车、电动汽车、电动手持工具、太阳能计算器、太阳能草坪灯、太阳能道钉灯、高速公路指示灯、太阳能灯、玩具电动机、语音IC、LED发光器等理想的后备电源。 在提高比能量方面取得了很大的突破,在提高比能量同时,提高比功率,并且能够有很好的循环使用寿命。    产品具有充放电速度快、循环使用寿命长、比功率高、耐低温性能好、质量轻、免维护、低污染等特点。

    标签: 2.7 法拉电容 卷绕

    上传时间: 2013-10-16

    上传用户:huql11633