虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > vhdl源代码,可以开16比特的平方根

vhdl源代码,可以开16比特的平方根

  • 资源大小:2 K
  • 上传时间: 2013-12-15
  • 上传用户:lanyunhan
  • 资源积分:2 下载积分
  • 标      签: vhdl 源代码 比特 平方根

资 源 简 介

vhdl源代码,可以开16比特的平方根,算法简单,速度快

相 关 资 源