虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 本源码用VHDL语言实现了用键盘控制米字管显示十进制

本源码用VHDL语言实现了用键盘控制米字管显示十进制

  • 资源大小:215 K
  • 上传时间: 2014-01-18
  • 上传用户:ok34090512
  • 资源积分:2 下载积分
  • 标      签: VHDL 源码 十进制 语言

资 源 简 介

本源码用VHDL语言实现了用键盘控制米字管显示十进制

相 关 资 源

您 可 能 感 兴 趣 的