虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 基于Altera的FPGA开发的音乐模拟信号播放

基于Altera的FPGA开发的音乐模拟信号播放

  • 资源大小:4 K
  • 上传时间: 2013-12-17
  • 上传用户:dojoy
  • 资源积分:2 下载积分
  • 标      签: Altera FPGA 模拟信号 播放

资 源 简 介

基于Altera的FPGA开发的音乐模拟信号播放,完全通过验证。

相 关 资 源