虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 程序实现的功能是在VGA显示器上显示彩色条纹

程序实现的功能是在VGA显示器上显示彩色条纹

  • 资源大小:1102 K
  • 上传时间: 2013-12-21
  • 上传用户:omica
  • 资源积分:2 下载积分
  • 标      签: VGA 程序 显示器 彩色

资 源 简 介

程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色,可以使用嵌入式逻辑分析仪观测信号。

相 关 资 源

您 可 能 感 兴 趣 的