虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 一个用vhdl写的时钟代码

一个用vhdl写的时钟代码

  • 资源大小:108 K
  • 上传时间: 2013-12-05
  • 上传用户:zhangyuntong
  • 资源积分:2 下载积分
  • 标      签: vhdl 时钟 代码

资 源 简 介

一个用vhdl写的时钟代码,上传的是工程,在实验板上调式通过,供大家参考

相 关 资 源

您 可 能 感 兴 趣 的