虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL实现视频控制程序

用VHDL实现视频控制程序

  • 资源大小:23 K
  • 上传时间: 2013-12-13
  • 上传用户:mpeg2000
  • 资源积分:2 下载积分
  • 标      签: VHDL 视频 控制 程序

资 源 简 介

用VHDL实现视频控制程序,作为参考,可以用notepad2打开学习一下

相 关 资 源