虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL语言实现数显时钟

用VHDL语言实现数显时钟

  • 资源大小:4 K
  • 上传时间: 2013-12-25
  • 上传用户:weizik
  • 资源积分:2 下载积分
  • 标      签: VHDL 语言 数显 时钟

资 源 简 介

用VHDL语言实现数显时钟,devid200.vhd为分频模块,scan.vhd为LED扫描模块,timecount.vhd为计数模块

相 关 资 源

您 可 能 感 兴 趣 的