虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

xilinx-FPGA-Matlab-Simulate

  • 基于FPGA的可编程控制器现场集成技术应用研究

    传统PLC使用时会出现一些问题,如程序死循环、程序跑飞、需要庞大的编译系统作支持和不能实现精确位置控制等等;而发展到OPENPLC后,这些问题依然存在。为了更好地解决这些问题,本文提出一种全新的可编程控制器现场集成技术,用FPGA来实现PLC的功能,抛弃传统PLC“程序”的概念,以“硬件线路”来实现控制功能,不论在经济上还是在性能上都具有更大的优势。 本课题在对国内外可编程控制器,重点是HardPLC的开发和应用的进展进行概述和分析的基础上,系统开展了HardPLC组成模块原理及其仿真模拟的研究。本研究的主要贡献为: 1.对比分析了CPLD和FPGA的性能特点,阐明了Xilinx公司FPGA芯片结构的两个创新概念,指出了其优越性能的结构基础; 2.系统分析了用HardPLC实现控制系统时的一些通用模块,对每个模块的工作原理进行了深入的探讨,用VHDL语言建立了每个模块的模型,在此基础上进行了仿真、综合,为进一步研究可编程控制器的现场集成奠定了基础; 3.在仿真综合的基础上,用所建立的模型完成了特定逻辑控制系统的控制要求,充分展示了其实际应用的可行性; 4.在分析Xilinx公司SPARTANII系列FPGA芯片配置模式的基础上,确定了应用于实际的基于CPLD控制的FPGA芯片SlaveParallel配置模式。 本课题研究建立的模型对于开发具有我国自主知识产权的HardPLC组成IP库具有一定的理论意义;对特定系统的控制实现,充分展示了基于FPGA的可编程控制器现场集成技术可以广泛应用于工控领域,加大推广力度和建立更多的IP库,在许多应用场合可以取代传统的PLC控制系统,为工控领域提供高可靠、低价格、简单易操作的解决方案,这将带来巨大的社会经济效益;所确定的FPGA芯片配置模式可广泛应用于对FPGA芯片配置数据的加载,在实践生产中具有重要的实用价值。

    标签: FPGA 可编程控制器 集成技术 应用研究

    上传时间: 2013-05-30

    上传用户:dtvboyy

  • 基于FPGA的实时脉冲参数测量技术研究

    该论文首先对脉冲及其参数进行了分析,然后介绍了雷达脉冲参数测量的原理,并针对现代复杂电磁环境的特点,对脉冲参数测量的方案进行了设计.最后利用Xilinx公司的Spartan-II系列20万门FPGA芯片实现了对高密度视频脉冲流的脉冲到达时间(TOA)、脉冲宽度(PW)和脉冲幅度(PA)等参数的实时高精度测量,并对测量误差进行了分析,同时给出了功能仿真的波形.该测量方法是基于FPGA的硬件实现方法,其系统结构简单,测量速度快、精度高,满足对脉冲参数测量高精度、实时性的要求.

    标签: FPGA 脉冲 参数测量 技术研究

    上传时间: 2013-07-05

    上传用户:14786697487

  • 基于FPGA的视频压缩IP核设计

    结合视频压缩的理论以及IP核设计中对于仿真验证的要求,本文设计了视频压缩IP核FPGA仿真验证平台.其硬件子平台以Xilinx公司XC2V3000为核心,针对视频压缩IP核应用仿真要求设计外围电路,构建一个视频压缩IP核的硬件仿真原型,采用运行于上位机上的控制和驱动软件作为软件解码子平台.同时还设计了完全独立于硬件之外的ModelSim软件仿真验证平台.以FPGA仿真验证平台为载体,本文设计了基于H.263协议的视频压缩IP核.经过ModelSim下的软件平台仿真调试与硬件平台调试相结合的手段,作者完成了视频压缩IP核的仿真验证.

    标签: FPGA 视频压缩 IP核

    上传时间: 2013-05-31

    上传用户:ikemada

  • 基于FPGA的数字滤波器实现技术研究

    随着数字信号处理技术应用的不断深入,数字信号处理系统的实现面临着很多挑战,其中面临的四个主要问题是:速度、设计规模、功耗和开发周期。因此许多数字信号处理的实现方法被提出,其中基于FPGA的实现技术就是其中的重要技术之一。 本文以数字信号处理系统的实现为应用背景,着重研究了基于FPGA的数字滤波器实现技术。本文分为两个主要部分: 第一部分以Xilinx公司的FPGA为例,总结了FPGA设计的基本方法及设计流程,并在此基础上介绍了一种用于产品快速开发的设计方式—基于SystemGenerator的设计方式,这种设计方式向数字信号处理系统的设计者提供了自上而下的FPGA解决方案。 第二部分系统地研究了基于FPGA的数字滤波器实现技术。该部分首先研究了三种适合于FPGA的FIR滤波器实现方法,直接结构、转置结构及分布式算法。其次,讨论了针对直接结构FIR滤波器的乘法器优化技术,CSD编码和系数分解,以及针对转置结构FIR滤波器的乘法器优化技术,简化加法器图,并结合实例给出了它们的优化效果。再次,介绍了直接结构FIR滤波器中常用多操作数加法实现方法,二叉树和Wallace树,并在Wallace树的基础上提出了一种适合于FPGA的1比特多操作数加法结构,这种实现结构在实现采样字长与系数字长均为l比特的FIR滤波器时,使FPGA的资源利用率得到明显提高。最后还给出了三种FIR滤波器实现方法在FPGA中应用的优缺点及其适用性,并给出了一个带通滤波器的设计实例。 论文的研究成果已应用于“北斗一号”导航定位接收机中。

    标签: FPGA 数字滤波器 实现技术

    上传时间: 2013-08-01

    上传用户:Andy123456

  • 基于FPGA的无线接收机下变频器的设计与实现

    随着无线通信的应用日益广泛,无线通信系统的种类也越来越繁杂,但是由于不同通信系统的工作频段、调制方式、通信协议等原理结构上存在差异而极大限制了不同系统之间的互通。软件无线电摆脱了硬件体系结构的束缚,成为解决不同通信体制之间互操作问题和开展多种通信业务的最佳途径,具有巨大的商业和军事价值,被喻为无线电通信领域一次新的技术革命。 本文首先回顾了软件无线电的提出和发展现状,然后论述了软件无线电的基本理论和数学模型。在此理论和模型的基础上,设计了软件无线电接收机的硬件平台。该平台包括射频部分、中频处理部分和基带处理部分。射频部分由天线和无线接收机组成;中频部分先将接收机输出的模拟信号数字化,然后再通过FPGA实现下变频;基带部分主要由DSP和嵌入式系统组成,完成解调、同步等处理并可以进行一些其他的应用。其中的嵌入式系统的主处理器是基于ARM7-TDMI内核的LPC2200芯片,为了实现开发的方便在此芯片上移植了uC/OS-Ⅱ嵌入式时实内核。 软件无线电接收机是一个很庞大的体系,其中的数字下变频器DDC是一个非常关键的组成部分,在这部分中可方便的对接收频段、滤波器特性等进行编程控制,极大的提高了通信设备的性能和灵活性,因此本文的重点在于数字下变频器的设计与实现。实现下变频的方法有很多种,由于FPGA在速度和灵活性上的优势,其应用也越来越广泛,因此主要采用了居于领导地位的XILINX公司的SPATAN-Ⅱ芯片来实现数字下变频的功能。

    标签: FPGA 无线接收机 下变频

    上传时间: 2013-04-24

    上传用户:mfhe2005

  • 基于XC2S300E芯片的高级加密标准算法的FPGA设计

    加密算法一直在信息安全领域起着无可替代的作用,它直接影响着国家的未来和发展.随着密码分析水平、芯片处理能力和计算技术的不断进步,原有的数据加密标准(DES)算法及其变形的安全强度已经难以适应新的安全需要,其实现速度、代码大小和跨平台性均难以继续满足新的应用需求.在未来的20年内,高级加密标准(AES)将替代DES成为新的数据加密标准.高级加密标准算法是采用对称密钥密码实现的分组密码,支持128比特分组长度及128比特、192比特与256比特可变密钥长度.无论在反馈模式还是在非反馈模式中使用AES算法,其软件和硬件对计算环境的适应性强,性能稳定,密钥建立时间优良,密钥灵活性强.存储需求量低,即使在空间有限的环境使用也具备良好的性能.在分析高级加密标准算法原理的基础上,描述了圈变换及密钥扩展的详细编制原理,用硬件描述语言(VHDL)描述了该算法的整体结构和算法流程.详细论述了分组密码的两种运算模式(反馈模式和非反馈模式)下算法多种体系结构的实现原理,重点论述了基本体系结构、循环展开结构、内部流水线结构、外部流水线结构、混合流水线结构及资源共享结构等.最后在XILINX公司XC2S300E芯片的基础上,采用自顶向下设计思想,论述了高级加密标准算法的FPGA设计方法,提出了具体模块划分方法并对各个模块的实现进行了详细论述.圈变换采用内部流水线结构,多个圈变换采用资源共享结构,密钥调度与加密运算并行执行.占用芯片面积及引脚资源较少,在芯片选型方面具有很好的适应性.

    标签: S300 300E FPGA 300

    上传时间: 2013-06-20

    上传用户:fairy0212

  • 加密卡的研制与加密算法的FPGA实现

    随着安全通信数据速率的提高,关键数据加密算法的软件实施成为重要的系统瓶颈.基于FPGA的高度优化的可编程的硬件安全性解决方案提供了并行处理能力,并且可以达到所要求的加密处理性能(每秒的SSL或RSA运算次数)基准.网络的迅速发展,对安全性的需要变得越来越重要.然而,尽管网络技术进步很快,安全性问题仍然相对落后.由于FPGA所提供的设计优势,特别是新的高速版本,网络系统设计人员可以在这些网络设备中经济地实现安全性支持.FPGA是实现设计灵活性和功能升级的关键,对于容错、IPSec协议和系统接口问题而言这两点非常重要.而且,FPGA还为网络系统设计人员提供了适应不同安全处理功能以及随着安全技术的发展方便地增加对新技术支持的能力.标准加密/解决以及认证算法,如RC-4、DES、三次DES、MD-5以及安全哈希算法-1(SHA-1)被广泛用于全球网络安全系统中.本文介绍了基于PCI总线的加密卡的研制,硬件板卡的结构,着重论述了加密卡上加密模块的实现,即用FPGA实现3DES及IDEA、MD5算法的过程,加密卡的工作原理,加密卡中多种密码算法的配置原理,最后对3DES算法及IDEA、MD5算法的实现进行仿真,并绘制了板卡的原理图,对PCI接口原理进行了阐述.在论文中,首先阐述了数据加密原理.介绍了数据加密的算法和数据加密的技术发展趋势,并重点说明了3DES的算法.由于加密卡的生存空间在于其高速的加密性能与便捷的使用方式,所以,我们的加密卡采用的是基于PCI插槽的结构,遵从的是PCI2.2规范,理解并掌握PCI总线的规范是了解整个系统的重要一环,本文讲述了PCI总线的特点和性能,以及总线的信号.由于遵从高速性的要求,我们在硬件选型的时候,选用的是TI公司高速DSP T M S 3 2 0 C 5 4 x:T I公司新推出的T M S 3 2 0 C 6 x系列D S P功能强,速度也非常快,但目前价格仍然太高,不适合一般加解密使用.而TMS3 2 0 C 5 4 x系列具有性能适中,价格低廉,产品成熟等特点,是较好的选择.FPGA选用的XILINX公司的XC2V3000,在随后的文章中,我们将会对这些器件特性做相应说明.并由此得出电路原理图的绘制.文章的重点之一在于3DES算法及IDEA、MD5算法的FPGA实现,以Xilinx公司VIRTEXII结构的VXC2V3000为例,阐述用FPGA高速实现3DES算法及IDEA、MD5算法的设计要点及关键部分的设计.

    标签: FPGA 加密卡 加密算法

    上传时间: 2013-04-24

    上传用户:qazwsc

  • (2,1,9)软判决Viterbi译码器的设计与FPGA实现

    卷积码是无线通信系统中广泛使用的一种信道编码方式。Viterbi译码算法是一种卷积码的最大似然译码算法,它具有译码效率高、速度快等特点,被认为是卷积码的最佳译码算法。本文的主要内容是在FPGA上实现约束长度为9,码率为1/2,采用软判决方式的Viterbi译码器。 本文首先介绍了卷积码的基本概念,阐述了Viterbi算法的原理,重点讨论了决定Viterbi算法复杂度和译码性能的关键因素,在此基础上设计了采用“串-并”结合运算方式的Viterbi译码器,并在Altera EP1C20 FPGA芯片上测试通过。本文的主要工作如下: 1.对输入数据采用了二比特四电平量化的软判决方式,对欧氏距离的计算方法进行了简化,以便于用硬件电路方式实现。 2.对ACS运算单元采用了“串-并”结合的运算方式,和全并行的设计相比,在满足译码速度的同时,节约了芯片资源。本文中提出了一种路径度量值存储器的组织方式,简化了控制模块的逻辑电路,优化了系统的时序。 3.在幸存路径的选择输出上采用了回溯译码方法,与传统的寄存器交换法相比,减少了寄存器的使用,大大降低了功耗和设计的复杂度。 4.本文中设计了一个仿真平台,采用Modelsim仿真器对设计进行了功能仿真,结果完全正确。同时提出了一种在被测设计内部插入监视器的调试方法,巧妙地利用了Matlab算法仿真程序的输出结果,提高了追踪错误的效率。 5.该设计在Altera EP1C20 FPGA芯片上通过测试,最大运行时钟频率110MHz,最大译码输出速率10.3Mbps。 本文对译码器的综合结果和Altera设计的Viterbi译码器IP核进行了性能比较,比较结果证明本文中设计的Viterbi译码器具有很高的工程实用价值。

    标签: Viterbi FPGA 软判决 译码器

    上传时间: 2013-07-23

    上传用户:叶山豪

  • 软件无线电调制解调系统的研究及其FPGA实现

    软件无线电是二十世纪九十年代提出的一种实现无线通信的体系结构,被认为是继模拟通信、数字通信之后的第三代无线电通信技术。它的中心思想是:构造一个开放性、标准化、模块化的通用硬件平台,并使宽带模数和数模转换器尽可能靠近天线,从而将各种功能,如工作频段、调制解调类型、数据格式、加密模式、通信协议等用软件来完成。 本论文首先介绍了软件无线电的基本原理和三种结构形式,综述了软件无线电的几项关键技术及其最新研究进展。其中调制解调模块是软件无线电系统中的重要部分,集中体现了软件无线电最显著的优点——灵活性。目前这一部分的技术实现手段多种多样。随着近几年来芯片制造工艺的飞速发展,可编程器件FPGA以其高速的处理性能、高容量和灵活的可重构能力,成为实现软件无线电技术的重要手段。 本论文调制解调系统的设计,选择有代表性的16QAM和QPSK两种方式作为研究对象,采用SystemView软件作为系统级开发工具进行集成化设计。在实现系统仿真和FPGA整体规划后,着重分析用VHDL实现其中关键模块以及利用嵌入FPGA的CPU核控制调制解调方式转换的方法。同时,在设计中成功地调用了Xilinx公司的IP核,实现了设计复用。由于FPGA内部逻辑可以根据需要进行重构,因而硬件的调试和升级变得很容易,而内嵌CPU使信号处理过程可以用软件进行控制,充分体现了软件无线电的灵活性。 通过本论文的研究,初步验证了在FPGA内实现数字调制解调过程及控制的技术可行性和应用的灵活性,并对将来的扩展问题进行了研究和讨论,为实现完整的软件无线电系统奠定了基础。

    标签: FPGA 软件无线电 调制解调

    上传时间: 2013-04-24

    上传用户:libenshu01

  • 使用FPGA模拟实现8051单片机及其外设的功能

    随着电子技术的发展,当前数字系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展.FPGA以其功能强大,开发过程投资少、周期短,可反复修改,保密性能好,开发工具智能化等特点成为当今硬件设计的首选方式之一.由于Intel公司的MCS-51系列单片机被公认为8位机的工业标准,因此,使用FPGA模拟实现8051单片机及其外设的功能便成为大规模复杂数字系统设计中的重要课题.该文首先介绍了FPGA及Xilinx公司关于硬件设计开发的工具ISE系统,继而用VHDL语言编写了8051单片机功能实现的源代码,然后为其设计了与部分外设连接的接口模块,包括8255并行接口、SCI串行接口和KBC键盘接口模块.并将它们封装到一块FPGA之中,最终实现了8051单片机的大部分功能.

    标签: FPGA 8051 模拟 单片机

    上传时间: 2013-07-28

    上传用户:erkuizhang