虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

verilog编程

  • VIP专区-嵌入式/单片机编程源码精选合集系列(24)

    VIP专区-嵌入式/单片机编程源码精选合集系列(24)资源包含以下内容:1. MSP430 TCP/IP.2. 嵌入式系统设计简介.3. linux下通过jtag烧写flash的源代码.4. 数码管及键盘管理.5. 硬盘MP3的源程序.6. 这个程序是汇编的.7. bootloader_test.8. 开发SD卡的必备资料,希望大家喜欢.9. 硬件汉字库设计原理与应用.10. ucdos7.33中有最新的汉子库!.11. Nandflashtest.12. 飞利浦10碟VCD控制程序.13. S3C44B0启动代码.14. 金星车载TV PAL制频头UV7305BDI控制源代码.15. mep100编程器windows共享版,无限制.16. 有关芯片8253/8255/8259的程序.17. 用verilog编写的多功能数字钟.18. GAPI for Pocket PC, supports a lot of brands..19. Insight of SONY VAIO notebook(VGN-U50)..20. 只要对程序稍做修改.21. DS18B20的C程序(针对MSP430.22. 数字信号处理中的互相关计算程序,应用很广泛.23. 典型的PID处理程序.24. DS18B20的汇编例程.25. 实现指纹模块采集功能.26. 嵌入式系统中USB总线驱动的开发及应用.27. 蓝牙硬盘mp3硬件设计方案.28. 键盘信号接收的例子.29. 用于数字信号处理快速傅立叶变换的程序.30. 硕士学位论文全文。CAJ格式.31. 现场总线技术在嵌入式操作系统中的应用 学位论文全文.32. 嵌入式协议栈LWIP.33. 自己实现的一个好用的嵌入式GUI.34. 嵌入式GUI论文集.35. 嵌入式操作系统的应用.36. vga to s端子接口.37. 12位串行A/D转换芯片TLC2543的驱动程序.38. source code for visa card.39. ppp source code for ucip.40. sst39vf016驱动程序.

    标签: 金属材料 标准手册

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(27)

    VIP专区-嵌入式/单片机编程源码精选合集系列(27)资源包含以下内容:1. Verilog源码15.2. Verilog源码11.3. verilog基础知识.4. Verilog硬件描述语言教程.5. 手机电池电路智能化研究.6. wince平台evc实现的全屏.7. 数控仿真与网络控制系统(雏形).8. Interface 4x4 matrix keypad with 8051 IO.9. mifare射频卡读卡源程序.10. 关于uc/os嵌入式操作系统中的例程.11. 12864A液晶屏手册.12. 128*64点阵液晶操作.13. iar240*128LCD源码.14. 8位采样正弦波形发生器.15. 这个是用muxpulsII制作的有时钟功能的电路是属于数字逻辑的.16. 此乃MMC卡读写程序.17. 通向ip设计的必看的一本书籍.18. 嵌入式处理器设计应用文集(一).19. 块交织的verilog代码.20. 成型滤波器的verilog代码.21. CSP封装技术,最新IC封装技术..22. 智能电话系统的使用说明书.23. ucs2和GBK互相转换的code, 网上的一般是c++, 这是我自己写的. 嵌入式编程里非常有用的哦.24. 将BMP图片变成PCB版图.25. 计算机课程设计一件很详细.26. 软件I2C 驱动.27. PCB 设计指导-7.28. PCB设计指导-8.29. PCB设计材料汇总.30. BGA封装详细介绍.31. ecv编程的帮助文件,有关于串口的.32. 24c16的读写程序.33. Modelsim 5.8C_crack.34. 通过并口以及jtag烧写flash工作.35. lwip 是一个嵌入式TCP/IP协议.36. 华恒瑞科公司的S3C44B0开发板音频测试程序.37. 触摸屏控制器ADS7846的原理及应用.38. 一个免费的SMART CARD OS系统。.39. keil c开发使用的启动代码说明。.40. 彩色图片转16进制格式软件源码.

    标签: 汽车 图解

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(34)

    VIP专区-嵌入式/单片机编程源码精选合集系列(34)资源包含以下内容:1. lonWorks技术白皮书.2. 嵌入式Linux上的图形系统--CCGUI 中科院软件中心 苏晓峰 的讲稿.3. openGui 就不用多说了.4. PPP协议C语言源代码.5. mp3解码C语言源码,可用在嵌入式系统上.6. sqlite 嵌入式数据库的源码.7. 用c++ 类累起来的简单菜单.8. 用于S3c44b0x液晶控制器显示汉字驱动.9. 读写USB端口的VC程序.10. 嵌入式USB HOST sl811hs的驱动程序.11. 這是一堆verilog的source code.包含許多常用的小電路.還不錯用..12. 电梯门禁系统:包括系统原理图.13. 楼宇可视对讲门口机C语言源程序.14. 使用C++开发操作系统源代码.15. Linux下I2C以及I2C下的键盘驱动.16. 周立功D12开发板中带的WINDOWS XP驱动程序.17. c/c++嵌入式系统编程.18. 程序1-1 用组合语言写成的霹雳灯程序 程序1-2 改用C来处理的霹雳灯程序 程序5-1 SDCC操作程序 程序6-1 引擎点火控制器的角度侦测程序范例 程序8-1 T_8252.ASM 程序10-1.19. 嵌入式系统开发中.20. 面向实时嵌入式系统的图形用户界面支持系统――MiniGUI .doc格式 包含很多LINUX原码.21. 嵌入式环境消息队列软件.22. 适用于8位小型嵌入式系统的TCP/IP协议栈!!移植非常方便!.23. 一些常用IT缩写词解释 希望对大伙有帮助.24. jxta最新版本v2.3.X的程序编写指南.25. 用户数据报协议的程序源码.26. 适合任意点阵的LCD屏幕的液晶时钟显示程序!开发人员只用简单的修改接口定义即刻方便调用!.27. 一款适用的C51写的红外线解码程序.28. 基于sy2100 ez-usb开发板的程序.29. 一个用于嵌入式的Mini Web Server.大小只有200k. 非常适合用于机顶盒等..30. 一个用于PC直接写端口及内存的程序.31. 有关TMSF2812配制文件、初始化、中断等源代码.32. C8051F040 UART0模式1从机程序.33. c8051f040中比较器0调试程序.34. 单片要c8051f040中使用LCD测试程序例程.35. c8051f040中液晶屏初始化配置程序和显示程序例程.36. 一个基于tcpip的小聊天程序 可用于tcpip的协议开发 少作修改后可用于嵌入式的网络通讯.37. (转载)采用C语言对DSP编程具有很多优点。针对TMS320C32芯片的特点.38. 使用c8051f020的测温程序 一个内部温度的 一个外部的用AD590.39. abootLoader 固件源代码 HP内部珍贵资料!.40. 2 HP PCI热插拔代码 HP内部珍贵资料!.

    标签: 2.0 机械设计 电子版

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(54)

    VIP专区-嵌入式/单片机编程源码精选合集系列(54)资源包含以下内容:1. D12Smart pdiusbd12的固件程序 win98版.2. D12Smart pdiusbd12的固件程序 winXP版.3. 基于S3C44B0X的256色LCD测试软件。ADS1.2调试通过。.4. S3C44B0X在ADS1。2环境下的工程模板。设置好了用关参数。打开就可直接添加代码编译。.5. S3C44B0X在ADS1。2环境下的文件系统的读写方法(FAT16)。已编译通过。.6. 在S3C44B0X依植的多任务UCOD-II操作系统的范例源程序。.7. 介绍了ZigBee技术的概念.8. 介绍了ZigBee技术的基本知识.9. 介绍了IEEE 802.154协议标准.10. 最新lwip1。2。0版本。。。。大家快来下。。。。Lwip协议栈的设计与实现 LWIP是TCP/IP协议栈的一种实现。LWIP的主要目的是减少存储器利用量和代码尺寸.11. 广泛使用的液晶屏ocmj4x8c的驱动.12. 在lpc3132上使用ocmj4x8 液晶屏的例子.13. 基于ARM9 S3C2410 定时器的实验程序原代码.14. Ti tas1020B芯片的开发固件.15. LPC214x SPI例程;飞利浦的ARM.16. LPCARM的工程模版.17. at91rm9200 i2c测试程序 ads调试.18. 这是电能芯片ATT7026的读写函数.19. 这是时钟芯片时SD2304的底层访问函数。希望大家能有用。.20. EasyARM程序程序EasyARM Gpio_TEST.21. SCI_UART_SPI_CAN_LIN等等串行总线的总体描述.22. 开发工具:ads1.2 主要IC:MCU:lpc2210(NXP) LCD驱动及控制IC:s6d012(samsung) 用途:lcd驱动开发入门.23. 开发工具:keil7 主要IC:at89c51sdnd1(atmel) 说明:mp3播放器详细设计方案.24. 开发工具:iar for c51 主要IC:tusb2136(ti)(8052内核) 主要应用:PC外接usb键盘.25. USB大量数据收发PC软件.26. 这个程序是用于数字信号处理dsp 642的物理层通讯的程序。.27. ARM开发板详细原理图.28. NIOS的CF卡应用,包括了软件和硬件,支持多个系列的PFGA.29. P1口八路LED的驱动程序.30. ds18b20-asm.31. i2c的c语言程序,包括读写一个字节,读写n个字节的子程序.32. verilog程序.33. verilog实现电子时钟模块.34. verilog实现.35. verilog实现.36. I2C总线verilog实现源码.37. proteus 实例这是结合c51编程的例子.38. 用BC++编写的带PIC-SERVO CMC运动控制器的3轴钻床控制器和G代码解释程序..39. this file for bank in keil.40. this file is for keil keyboard.

    标签: 五金手册 计算器 绿色软件

    上传时间: 2013-05-26

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(55)

    VIP专区-嵌入式/单片机编程源码精选合集系列(55)资源包含以下内容:1. this file is for keil LCD.2. this file is for keil motor.3. 使用keil C51编译的DDS的LED显示源码.4. 使用QUARTUS 2编译的DDS的源码.5. 使用keil C51编译的计时器的LED显示源码.6. WINCE下数据库的一些操作.7. modbus协议栈.8. 2004液晶屏与89c52组成的电子钟.9. 1.汉明编码的硬件实现vhdl语言 2.测试用.10. 一个MCS51+1620LCD的液晶C程序.11. SD卡与ARM7在SPI模式下进行数据读写原代码.12. CPLD FPGA常用protel库.13. MSP430F149的两个USATR 在实现SPI通讯.14. protues 仿真 intel8255,很好的软件.15. protues 仿真 adc0808和dac0808,很好的软件.16. protues 仿真 UART发送hello,很好的软件.17. 用KEIL C编写的绘图型 LCD 数字时钟.18. alsa codec play music and i2c.19. 用C语言编的功能很齐全的交通灯程序.20. 12位11通道AD转换芯片tlv2553,12位双通道DA转换芯片tlv5638.21. Anlog 公司的DSP,ADSP-BF531并口模拟spi引导程序,是采用BF531从引导模式。.22. 用opengl实现的bsp管理3D场景的算法.23. 针对杭州中正公司的SM-62光学指纹识别模块在Atmega128芯片板上移植后的测试程序.24. 本段源码是将上海一维的指纹识别模块移植到ATmega128芯片后的测试程序.25. 这是基于立宇泰公司的ARM板开发的彩色液晶图象显示代码.26. DS18B20的温度采样C程序,思路简洁明了,希望适合的工程.27. 数字时钟:LCD+凌阳SPCEO61A,通过中断计时,LCD显示,界面简洁宜人.28. 时间和温度同时拥有,一次满足你两个愿望,而且友好的界面,一定合你心意..29. 硬件设计不可多得的资料.30. 实现温度检测功能.31. 基于MSP430的程序代码.32. 基于MSP430的程序代码.33. 实现气象站信息采集功能.34. 320*240液晶,Ra8803芯片控制程序,keil8.09编译..35. C51控制24CO2及24C04.36. matlab下.37. 四位BCD码数字显示电路.38. 介绍vhdl硬件描述语言的特点及设计思想.39. CRC 算法实现原理.40. 用verilog写的FIR滤波器,不错可以.

    标签: 表面处理 新工艺 新技术 新标准

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(63)

    VIP专区-嵌入式/单片机编程源码精选合集系列(63)资源包含以下内容:1. zlg7289a驱动程序 包括头文件和主文件 汇编和C语言齐全.2. vc++ 开放的串口通讯程序.3. 电子万年历制作的全部资料,可用单面板制作,顶层线比较少可用跳线,内用源码+原理图+PCB,可以显示到2050年的阴阳历,只须调整阳历..4. i2c协议实现.5. 优龙PAX255开发板所带AC97声卡的测试程序源码.6. 周立功的USB大容量存储开发板带CPLD的代码D的源码.7. wangxiaoyong0015@yahoo.com.cn b不懂的给我发邮件!!! 谢谢啊!!一定支持我.8. 用VHDL实现的DDS.9. uclinux移植过程中.10. viterbi decoder , use verilog HDL language..11. 三星ARM试验箱.12. USB JTAG 卡. 允许从主机USB口直接控制JTAG I/O 信号。 USB端与Altera USB-Blaster使用相同的协议。主机端与openwince, OpenOCD和Altera的.13. 许多非常有用的 Verilog 实例: ADC, FIFO, ADDER, MULTIPLIER 等.14. LPC2214开发原理图,绝好!!!!!!!!!! LPC2214开发原理图,绝好.15. CPLD开发电缆原理图,绝好的东东!!! CPLD开发电缆原理图,绝好的.16. 语音评分算法的实现,主要可以实现对一段语音信号进行判别并进行打分功能..17. lpc2132开发板的原理图,适合初学者学习用.18. 用ICC写的ATMega8的4X4键盘驱动程序.19. FPGA-CPLD_DesignTool,事例程序陆续上传请需要的朋友下载.20. I2C编译通过...大家下去直接用.支持程序员联合开发网.21. 步进电机控制实验.22. MagicARM2410与PC机串口通信实验.23. CanBus通信实验.24. 这是个C的一个程序.25. 这是个嵌入式程序.26. 一个MSComm控件的收发程序.27. 包括TI全系列DSK原理图汇总.28. 周立功公司的USB2.0芯片ISP1581的键盘上位机VC编的源程序.29. 这是一个学习proteus很好的资料。希望对大家的学习很有帮助.30. 本代码内容是关于带遥控器控制的LCD显示的实时时钟。.31. 本代码是关于用INT0中断实现按键计数.32. 本代码是关于循环灯的代码.33. 本代码是关于符点数在数码管上显示的.34. 本代码是关于AT24C02串行存储器的读写的.35. 一种使用可控硅控制.36. 这是运动控制课程设计时自己设计的电路原理图.37. vxworks tffs mtd 层源码,支持非INTEL格式.38. 自己看吧 eerom的.39. DOS下的TCP/IP源代码,可以做参考..40. 基于FPGA的SD控制器实现.目前实现读操作功能,可作参考..

    标签: 五金手册

    上传时间: 2013-06-01

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(65)

    VIP专区-嵌入式/单片机编程源码精选合集系列(65)资源包含以下内容:1. DM9161应用电路原理图来自DAVICOM网站。需要的可以自由下载.2. ALTERA CPLD器件的配置与下载,贡献给初学习者,非长有用.3. This design package includes reference materials for creating a USB - PS/2 combination mouse that a.4. 字符表示的十六进制数转化为相应的整数    错误则返回    -1   .5. C51的12864m.6. 8个字LED点阵的显示程序.7. 51+ch375超级经典的U盘读写程序嘿嘿赶快下载把.8. PWM控制电机C程序-已通过实验测试 PWM控制精确控制电机的转动..9. C51串口单工通讯程序一成功通过测试,请放心下载.10. 来自网上好心人的好东东,关于SD卡读写的,内附protel原理图.11. 设计输入 ! 多种设计输入方法 – Quartus II • 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 .12. 全是FPGA的例子 对大家应该有好处 大家赶快下把 知识不等人.13. 此为编程代码示例.14. 此为编程代码示例.15. 我在spartan-3e starter kit 的板上实现了mc8051.16. 完成MP3播放功能.17. 一个关于实时时钟驱动方面的程序(ARM7).18. 遍历二叉树的4个非递归算法 vc编程基础.19. 快速排序的非递归算法 vc编程练习.20. 雷达高频接收机.21. 我的开发板的所有测试程序.22. ps2的驱动.23. 密度测试仪的源码.24. 本程序主要内容18b20+s52+uart单点测温详细c51程序.25. CPLD 9536 程序 我自己用的代码. VHDL语言.26. AMD嵌入式处理器AU1200开发板原理图.27. cpld3128开发板的原理图 很有用,已经做成PCB,需要的话可以联系我.28. ertfs文件系统里面既有完整ucos程序.29. 基于atmega128的交通信号灯控制程序.30. 利用软件编写的I2C传输界面程序,适用于现有4位机等汇编语言中!.31. I2C控制程序,供大家学习参考,使用时根据自己的系统修改..32. This designs uses a Nios II system to demonstrate how to read from the SD card. The software reads W.33. his design is the initial design when the board is powered-up. It increments a counter and displays.34. 用于多于9个串口的ce驱动程序。时间仓促。可以参考.35. CSD卡的dos驱动程序源码.36. ADT700的小程序.37. 嵌入式的小程序.38. 基于cpld的hdb3编码器 基于cpld的hdb3编码器.39. 基于cpld的交通灯设计 ?赾pld的交通灯设计.40. 用于ARM9系列的S3C2440A的bootloader,Linux平台.

    标签: 固件

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(82)

    VIP专区-嵌入式/单片机编程源码精选合集系列(82)资源包含以下内容:1. PIC 18F8680 CAN bus Demo.2. write erase secure for NXP LPC23XX.3. 红外遥控器制作专业资料打包,包括TSAL6200,HS0038B等相关设计内容,非常丰富..4. 在KEIL环境下,取反p0.26口.5. ARM lpc2294 的CPU中继.6. 分的股份的给对方了广阔的封公开的森林狼队是开发了份大礼服肯定是.7. 128*64点阵LCD显示程序,串口,适用耀宇等LCD模块.如需电路请联系qudaohong@163.com.8. 用c-press控制设备块传输希望对你有所帮助.9. I2C 24c02 芯片读写程序.10. cc2430上的点对点通信的源程序。芯片2430是ZigBee传输标准.11. gps程序设计源码c c.12. 基于GSM无线温度检测系统.13. 关于DS18B20的毕业设计论文.14. gray码计数器 用于减少出错率 代码已经仿真 请放心下载.15. 桶型移位寄存器 用于多种场合 可放心下载.16. keyboard 的verilog 代码 代码绝对经典.17. STMP3410原理图.18. 该文件是SOPC中NiosII软核CF接口源程序代码.19. 常用的几种芯片的液晶驱动程序M12864汉字液晶显示,44780字符显示等.20. DM642_CPLD视频采集处理系统设计.21. mp3解码程序.22. 不错的例程.23. 是一篇关于嵌入式系统内存分配的文章.24. FPGA和CPLD入门级详细的学习资料.25. 用UBOOT实现S3C44B0的BIOSB.26. 恩智 lpc2100 的外 中断1.27. 320*240,T6963控制器的液晶源程序..28. EEPROM存储器I2C总线24CXX芯片操作源程序.29. c语言写的菜单程序,课程表,存储修改源代码..30. c语言写的图形界面程序源代码.VERSION0.0.31. 这个是在NOIS II中使用的.32. (原创)高精度计时器电路原理图。采用AT89S52加DP8573.33. (原创)高精度电子时钟和计时器电路版图。与本人另外上传的原理图配套.34. ARM,DSP,FPGA的区别:详细介绍了ARM.35. 详细介绍了CPLD和FPGA的区别.36. at91rm9200的原理图和pcb图.37. pci-serial是 PCI转串口驱动程序.38. 台湾大学的一个mgcp 协议的实现源码,对研究mgcp协议很有帮助!.39. 51+sl811读写U盘的源程序+原理图.40. fpga cpldXILINXCPLD-JTAG fpga cpldXILINXCPLD-JTAG.

    标签: 弹簧 设计手册

    上传时间: 2013-06-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(84)

    VIP专区-嵌入式/单片机编程源码精选合集系列(84)资源包含以下内容:1. 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标与VGA控制模块.2. 基于fpga和sopc的用VHDL语言编写的EDA的VGA彩条信号显示控制器.3. 基于fpga和sopc的用VHDL语言编写的EDA的VGA图像显示控制器.4. 基于fpga和sopc的用VHDL语言编写的EDA的PS/2和VGA控制显示控制器.5. 基于fpga和sopc的用VHDL语言编写的EDA的USB控制模块程序.6. 基于fpga和sopc的用VHDL语言编写的EDA的32位Nios CPU嵌入式系统软硬件设计.7. 基于fpga和sopc的用VHDL语言编写的EDA的32位Nios CPU嵌入式系统及其DMA设计俄罗斯方块游戏机.8. 北京革新公司的2410的看门狗测试程序.9. 基于PHILIPS ARM7的I2C驱动程序.10. rf cc2420开发的PROTEL原理图 处理器mega128 公司内部资料.11. MP4 AVI CODE AND TFT LCD CODE.12. 鼠标驱动范例.13. 采用CPLD来培植ALTERA公司的CYCLONE系列FPGA.14. DVB系统的SDI数据数据传输接口.15. VHDL数字钟 数字电子钟 此数字电子钟具有的功能包括: 1. 计时.16. 这十篇论文主要讲述了VXWORKS对外设的控制和通讯.17. 这是语音芯片ISD4004的操作代码.18. 这是芯片PMC6388的硬件初始化程序.19. 优先级算法以及调度算法中其他的算法。想要下载的朋友可以看看.20. 基于DAM6416开发板的PCI下载程序.21. 基于DAM6416的通过PCI的主机和视频口的通信程序.22. 基于DAM6416的通过PCI的视频口和主机的通信程序.23. 自己编制的加法器的verilog程序 希望对大家有所帮助.24. 在win(2000/2003)下面制作CRAMFS映像文件 专门用于嵌入式Linux开发 由于网络上没有类似的软件 索性自己写了一个 经过测试OK 全部源代码.25. 51按键典型程序 51按键典型程序.26. 液晶显示控制模块T6963的完整C函数,包括图形文本方式显示.27. arm开发板资料CPLD源代码armcore9.28. G729编码和解码的Matlab源程序 调用方法: 1.需要编码时.29. 我编的遗传算法matlab程序.30. MPS430ZIGBB PPT文档 相关介绍 对了解有一定作用.31. 该程序用来测试89C51与IDE硬盘的接口.32. 一个使用PROTEL99设计的一款程控滤波器.33. 2410开发板底板原理图.34. 大学当中跟别人做的一个东西.35. C8051F350的抗干扰数据采集程序.36. 完整的在Windows下 PCI CAN卡的驱动程序及测试程序.37. 功能:双字节十六进制小数转换成双字节BCD码小数 入口条件:待转换的双字节十六进制小数在R2、R3中。 出口信息:转换后的双字节BCD码小数仍在R2、R3中。 影响资源:PSW、A、B、R2.38. 浮点数代数值比较(不影响待比较操作数) 入口条件:待比较操作数分别在[R0]和[R1]中。 出口信息:若CY=1.39. 功能:浮点取整函数 入口条件:操作数在[R0]中。 出口信息:结果仍在[R0]中。 影响资源:PSW、A、R2、R3、R4、位PFA 堆栈需求: 6字节.40. 功能:浮点倒数函数 入口条件:操作数在[R0]中。 出口信息:OV=0时.

    标签: 显微

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(88)

    VIP专区-嵌入式/单片机编程源码精选合集系列(88)资源包含以下内容:1. 计算机并口转3路串行DA的DLL源码及电路,芯片MAX541/MAX5541,可以免费申请,8路I/O输出,5路输入,并口的简单极限应用.经使用各项性能不错,就是DA抗干扰较差,没有较大的电磁干扰运行.2. arm9上测试串口代码.3. I2C controller verilog code for altera fpga platform..4. sigma-delta ADC轉換之matlab模型.5. NiosII的Flash编程指南(www.sopc.net.cn).6. 楼宇门禁对讲机主机原理图.7. 开发环境.8. 开发环境WAVE6000.9. vb环境下用动态规划方法编的0/1背包问题.10. 该实验设计模60计数器.11. 嵌入式课程设计 页面置换算法模拟 列出缺页缺页次数和缺页率.12. DSP系列中的TMS320F2812 ADC范例程序.13. TMS320F2812 GPIO_input范例程序.14. TMS320F2812 SPI_FFDLB范例程序.15. 指令集模拟器.16. s3c44b0x的一些相关芯片资料,是官方的pdf格式,很有用.17. 大屏320240的C语言测试程序,已验证通过,请放心使用.18. 最新火热的CX32 源代码.19. 关于台湾新茂SM5964 I2C的程序.20. gps开发专用的源代码.21. Moore型状态机设计,基于VHDL.能够根据微处理器的读写周期,分别对应存储器输出写使能WE和读使能OE信号..22. 文介绍一款用AT89C51和串行ROM 制作的电子密码锁.23. 总线控制器 altera提供的FPGA源代码.24. bios嵌入DOS操作系统,可以先编译romos.asm制作成BIN文件,加载至BIOS的ISA模块.另外还有制作工具.25. wt89c51 watch dog proce.26. 瑞萨H8系列芯片内置rom读写测试.27. Opencore提供的I2C代码.28. 译码器的逻辑功能是将已赋予特定含义的一组二进制输入代码的原意"翻译"出来,变成对应的输出高低电平信号.该程序为3-8译码器.基于VHDL,其开发环境是MAXPLUS2..29. 驱动SOLOMON的SSD182.30. 驱动三星的KS0107/KS0108.31. 驱动ULTRCHIP的UC1682.32. 是一个带PROTEUS仿真的8路数字电压表.33. SSD3的练习6的答案.快期末考试了.34. S3C2410A 的存储器控制器提供访问外部存储器所需要的存储器控制信号。 S3C2410A 的存储器控制器有以下的特性:.35. 这是一个三星44b0的中文文档.36. 这个arm7嵌入式蕊版的起动代码.37. 这个代码是用ADS1.2平台开发的一个mp3播放器。.38. 该程序能够对信号进行OFDM处理.39. 在网上看见很多人用DM413.40. 介绍了CPCI总线及快速、低功耗模数转换器件AD976的主要特点.

    标签: ADAMS View MSC 弹簧

    上传时间: 2013-06-18

    上传用户:eeworm