虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

usb_xilinx_<b>vhDL</b>

  • VHDL4选1数据选择器

    VHDL编写的4选一数据选择器 entity mux41a is        port(a,b:in std_logic;                s1,s2,s3,s4:in std_logic;                y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);

    标签: VHDL 数据选择器

    上传时间: 2020-05-15

    上传用户:cdga

  • VIP专区-单片机源代码精选合集系列(50)

    eeworm.com VIP专区 单片机源码系列 49资源包含以下内容:1. STM32中断与嵌套NVIC快速入门.rar2. 教你如何看懂时序图.rar3. AVR32801: UC3A3 Schematic Chec.pdf4. AT指令简编汇集.pdf5. HT MCU间接寻址的应用.rar6. 基于C8051F020和Zigbee的汽车测试系统设计.rar7. AVR事无巨细系列.pdf8. 基于S3C2440A的银行评价器的设计.rar9. 基于PIC16LF874单片机的电容测量模块.rar10. S52开发板功能说明.pdf11. 基于ARM单片机的自动测高测距小车.rar12. LPC1300片上USB驱动应用与实例.zip13. 基于MSP430单片机F149的GSM电子门匙设计.pdf14. 基于C8051F040的以太网-CAN转换电路设计.rar15. 基于凌阳单片机的步进电机加减速的控制方法.rar16. 硬件电路设计之主芯片选型.rar17. 嵌入式处理器和数字信号处理器(DSP)选型手册.rar18. 单片机P0口的片外数据存储器扩展.rar19. LTC4310绝缘双向I2C总线通信方案.rar20. 基于PCI9054的数据转换模块设计.rar21. 单片机Flash存储器坏块自动检测.rar22. 下载烧录快速入门手册.rar23. 基于单片机的住宅电子服务系统.rar24. 基于ATmega8的双轴太阳跟踪器设计.rar25. 单片机通讯电路的抗干扰设计.rar26. 基于ATmega16L单片机的温度控制系统设计.rar27. 基于单总线式无线温度采集系统设计.rar28. 基于P89V51RD2的功率因数测量仪设计.rar29. 基于MC9S12HZ256的总线式汽车数字仪表设计.rar30. 工控软件组态王与单片机多机串口通讯的设计.rar31. 基于AVR的新型防汽车追尾安全装置设计.rar32. 串行时钟PCF8583在微机保护装置中的应用.rar33. 基于C8051F320的心电监护系统设计.rar34. 基于Attiny13的投影仪防盗器设计.rar35. 基于AT89C52单片机的语音录放系统.rar36. H.264高清编解码器的片上系统MG3500.rar37. 基于C8051F310的山路转弯预防警示系统.rar38. 基于CAN总线的综自通讯规约设计.rar39. 基于AT89S52的机载电气盒测试仪的设计.rar40. 基于PCI9052的PCI局部总线应用.rar41. 基于ISA总线与KH-9300的数据采集系统.rar42. 基于单片机89S52的多功能计数器设计.rar43. 微处理器dsPIC33F在微机保护装置中的应用.rar44. 基于ATmega16的简易示波器设计.rar45. 基于单片机和FPGA的多功能计数器的设计.rar46. 基于ATmega16的标记机控制系统.rar47. 基于C8051F040的方位角测试系统.rar48. 基于VHDL的微型打印机控制器设计.rar49. 基于AT89S52单片机的多功能音乐播放器.rar50. 基于PIC单片机的IC卡读写器的设计.pdf51. 基于C8051F060的数据采集存储系统的设计.rar52. MCS51单片机实验指导.pdf53. 单片机的C语言程序设计--周兴华.pdf54. 基于CDC3207G的汽车仪表板设计.rar55. 单片机原理及应用实验指导书--吕运朋.pdf56. S3F84B8 8-位CMOS MCU用户手册.pdf57. 基于MSP430F247和TMP275的测温仪.rar58. 单片机现场应用中的几个技术问题.pdf59. 单片机与PLC之间远距离通信的实现.pdf60. iis总线:基于IIS总线的嵌入式音频系统设计.pdf61. 《单片机与接口技术》实验讲义--杜晓.pdf62. 2.4GHz PTR4000无线嵌入式模块高速率1Mbps、.pdf63. 自动打铃器.pdf64. “Infineon单片机实验”教学大纲.pdf65. PIC单片机在可编程数码式楼宇对讲系统中的应用.pdf66. Intel 8251的UART功能.pdf67. XL400编程试验51单片机开发板.pdf68. P89V51RD2新型单片机SoftICE模式调试指南.pdf69. 海丰热电公司800立方米水箱单片机控制系统.pdf70. 单片机与CPLD综合应用技术.pdf71. 8-bit 80C51 Flash系列单片机--P89C66.pdf72. 单片机的串行口.pdf73. TM57PE12 8位单片机使用手册.pdf74. PHILIPS P89C5x单片机数据手册.pdf75. HT46R32/HT46R34 A/D+OPA型八位单片机.pdf76. 单片机应用小技巧.pdf77. MSP430F21X1混合信号控制器.pdf78. 4位单片机微控制器MC20P11XX.pdf79. MSP430系列超低功耗单片机基础与教学实验.pdf80. 基于89C51单片机的实验电路板的制作.pdf81. 8位OTP单片机芯片MC10P23XXY管脚与三星9454完.pdf82. MCS-51单片机系统扩展.pdf83. 8位OTP单片机芯片MC10P22XXY.pdf84. 单片机技术概述.pdf85. 基于ATmega48的3相无刷电机的控制方法.rar86. C8051F单片机在远端测控装置中的应用.pdf87. 基于C8051F020单片机的多路压力测量仪.rar88. 8位OTP单片机芯片BM22P02.pdf89. MSC1211 SINGLE-CHIP MICROPROCE.pdf90. 8位OTP单片机芯片BL35P02R.pdf91. 8位OTP单片机芯片BL22P02.pdf92. 8位OTP单片机芯片BL35P02.pdf93. HT46R23/HT46C23 8位A/D型OTP/Mask.pdf94. 8位OTP单片机芯片BL22P64.pdf95. 单片机和嵌入式LINUX开发的那点事儿.pdf96. 凌阳8位通用单片机--SPMC65系列单片机原理及开发.pdf97. AVR单片机技术培训--李正中.pdf98. AVRISP MKII编程器使用说明.pdf99. CANopen主节点的设计方案.pdf100. SONIX 8BIT单片机26系列I/O型原理及基础课件.pdf

    标签: 电控 发动机 教程

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(26)

    VIP专区-嵌入式/单片机编程源码精选合集系列(26)资源包含以下内容:1. ATMEL MP3 源代码.2. ATMEL MP3电路图.3. 利用RTOS機制實現機械系統中的質量.4. 這是利用RTOS去實現機械系統.5. YAFFS和YASFF2文件系统的源代码。.6. SP2339驱动.7. 电子元件基础教程.8. 数字滤波器的文档.9. 汽车记录仪元代码.10. IIC读写的例子.11. 串口读写.12. 自制硬盘mp3播放器.13. 使用SST89C58控制单片电子硬盘的软硬件.14. full package of jaffs file system.15. sle4442逻辑加密卡读写程序---c语言编写(转贴).16. msp430开发c语言例程.17. 6b595 24co2 12887应用程序(c源程序).18. AD TLC0831 DA TLC5620应用程序(c源程序).19. pwm发生器(原理图,pcb.20. evc编程,使用数据库软件.21. 常用3极管资料,值得收藏.22. 红外发射接收芯片HT12A,HT12D.23. 18f458实验程序。 简单输入输出.24. 对NAND FLASH的读写操作.25. NAND FLASH 的读写操作程序.26. 把BMP文件的格式进行处理.27. 仪表温度控制程序.28. 无线耳机通讯用CPLD的VHDL源码.29. 英文点阵字库,嵌入式系统必备.30. 12点阵汉字字库chs12,嵌入式系统必备.31. 16点阵汉字字库chs16,嵌入式系统必备.32. 在44B0板子上添加IIC键盘.33. 44b0的BOOTLOAD微机通讯程序.34. PSD813F2的FLASH区操作的一个很有用的程序.35. 使用DataFlash自动引导U-boot的程序源码.36. RAM掉电保护电路.37. fat32文件C语言的实现13.38. PLC程序集成开发平台.39. 嵌入式操作系统Tornador中函数库的参考.40. 数码管显示时钟数码管显示时钟数码管显示时钟数码管显示时钟数码管显示时钟.

    标签: 液压 元件

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(40)

    VIP专区-嵌入式/单片机编程源码精选合集系列(40)资源包含以下内容:1. epson公司的一个关于s1d13706的低层驱动程序.2. ads1.2的一个工程.3. 一些FPGA的扩展电路原理图.4. 一些DSP的c语言源程序.5. 凌阳单片机声控小车源码,对于想开发声控小车的人来说,是相当有用的.6. ATMEL的下载线制作和下载软件,有需要的可以来看.7. 一个比较老的C语言编译器,WAVE的老板本仿真器只能用他.8. LM8361、TMS3450、TMS1943数字钟电路.9. 该程序代码实现对Flash Rom AM29LV160烧写数据的功能.10. 嵌入PIC16F77的激光传感器程序RS485输出.11. PIC16F77单片机I2C读写程序.12. 这是家用摄像头的源程序.13. lwIP is a small independent implementation of the TCP/IP protocol suite that has been developed by.14. 详细的MiniGUI源代码.15. 日本的嵌入式实时操作系统UITRON3.0 该系统以得到几十亿片的应用业绩.16. IEC61131-3标准说明.17. 一线通讯协议的c51例子程序源代码.18. C字符串底层函数的实现。对一些想了解C的低层函数的人很有帮助.19. 使用vhdl语言编写的交通灯控制程序.20. 使用C语言编写的单片机控制LCD显示程序.21. 此程序是一底层开发驱动代码,里面包括了I2C芯片(MAX5417)及1 wire sensor、AD驱动的源代码!稍作改动就能用于自己的产品开发中!.22. 这是利用Mifare SDK开发的读写基于ISO14443标准RF卡的程序。.23. 这是用于Mifare卡开发的programmer reference和DLL文件.24. C51单片机用C语言编写的SPI例程.25. CPLD7256的例子程序.26. SUMSUNG S3C44B0X ARM7的一个RTC实时时钟的显示和修改的例程.27. 哈工大智能电动车软件的源代码.28. 这是有关单总线数字温度芯片DS18B20的keilC和ASM汇编的混合编程.29. 这是一个在51单片机上用汇编实现的除法程序。.30. Chapter 5 "Construction case with USB host system that handles USB controller SL811" sample progra.31. "TCP/IP + Ethernet network equipment design method" sample program.32. JAPAN "RTOS technology that learns with TOPPERS".33. JAPAN RTOS TOPPERS/JSP kernel 1.4 PreRelease simulation environment JSP kernel Windows simulation.34. 键盘扫描程序.35. pcb EMI 资料很好 是英文资料.36. PCB EMI 的防护的资料是英文的.37. pcb EMI 的防护的资料.38. pcb EMI 的防护的资料.39. pcb EMI 的防护的资料.40. pcb EMI 的防护的资料.

    标签: 液压系统 模拟机

    上传时间: 2013-06-12

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(44)

    VIP专区-嵌入式/单片机编程源码精选合集系列(44)资源包含以下内容:1. 凌阳单片机扩展8255 为用户在中提供一个I/O 扩展的方法.2. 利用单片机的定时器.3. music接管0.5W扬声器,就可以唱歌了,如果你觉得不好听,就调节一下与时间有关了延迟涵数,看看有何变化..4. VHDL应用与开发实践 VHDL应用与开发实践.5. JamVM是一种很优秀的嵌入式Java虚拟机.6. OK系列PCI图象卡编程程序,MFC方式,压缩形式.7. 一种有效控制温度的智能温度记录仪.8. 这是关于VxWorks下IPv6协议的实现的硕士论文,欢迎分享.9. 很适用的PS/2源程序,欢迎大家下载. 目前比较流行.10. 汗诺塔.11. 手持点菜设备得一个嵌入式系统.12. 51 与fifoidt7202的控制编程 供大家参考.13. 8254 和串口 的程序 实际工程项目作了少许删改 大家参考.14. 这是一个4*4键盘控制液晶显示器的汇编语言程序。是用MICROCHIP的MPLAB IDE编写的。附有硬件连接方式。.15. 这是用PIC单片机控制键盘和APR9600芯片.16. 51单片机程序源码.17. 这是一个在minigui下面用來实现曲线动态显示功能的一段代碼.18. 该段代码实现了在arm2410的平台下使用gprs模块实现收发短消息.19. 该程序实现公园导游功能.20. 此程序实现了表达式求值.21. 5个单片机程序。TCP_IP.c带注解:凌阳单片机的。dds.c51:51单片机.22. 嵌入式系统及实时软件开发,好书希望大家喜欢.23. 嵌入式系统中Bootloader的设计与实现.24. 用神经网络来跟踪博弈过程.25. 用maxplus2实现的一种通用逻辑模块.26. 星光s3c44b0 ARM开发板的一些比较好的供学习的示例程序.27. TI TMS320C5000的算法设计标准 有源码.28. ucos gui原代码.29. cirrus的ep7312的各个测试程序.30. 一个在TI的MSP430单片机上移植UCOS2操作系统的例子.31. 一个在430单片机上移植UCGUI的例子.32. PLD编程笔记 使用abel语言的有关个人心得笔记.33. 关于pld编程笔记的进一步补充。 对前文错误有些纠正.34. 介绍ispexpert的使用方法.35. 步进电机的和序.36. N6221的单片程序.37. LED灯的一些源码.38. LCD液晶的应用程序.39. 步进电机的源代码.40. 串口通信的程序源代码.

    标签: 机床 夹具 设计手册

    上传时间: 2013-05-29

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(46)

    VIP专区-嵌入式/单片机编程源码精选合集系列(46)资源包含以下内容:1. 此代码是关于UC/OS移植到KEILC51的具体实现,希望给正在学习的朋友一点参考.2. 51定时器应用程序 适当修改可用于定时控制应用.3. C语言嵌入式系统编程修炼之道,很不错的关于如何用c语言开发嵌入式系统,值得一看,受益非浅.4. AT91SAM7s64调试笔记包括各种外围设备的环节.5. 51的一个DEMO,文件的内容包含原理图.6. LCD TV,uVision2 Project, (C) Keil Software.7. 一些ARM芯片的映射文件.8. 嵌入式liunux系统中的串口测试程序.9. 一个tcp/ip协议栈,带有PPP、IP、TCP、UDP等协议.10. java编写的记事本程序.11. splc501程序,有所需要的驱动程序和相应的演示程序.12. 44b0x测试程序.13. PCB设计问题集.14. 2410处理器bootloader,的初试化配置和 系统调用的寄存器配置函数.15. NAND FLASH通用的读写.16. Norflash通用的读写.17. 飞利浦公司的LPC935单片机复位程序的源码.18. philips的MPEG2编码芯片SAA6752的驱动程序源码.19. 这也是PHILIPS系列A/D解码芯片SAA7115的驱动程序源码.20. UCOSII下的DA实验,实验板为S3C44B0.21. UCOSII下的键盘实验.实验板子为S3C44B0.22. 数字系统高层次综合讲座的讲义.23. VLSI系统设计.24. i2c源码vhdl语言编写.25. 6502汇编 算术运算库 8bit乘以8bit.asm 8bit除以8bit.asm 16bit乘以16bit.asm.26. 串口通讯.27. 详细介绍了关于CF卡的存储结构.28. 有关HART通讯命令协议和使用说明.29. MC141585编程应用.30. 调协器读写程序.31. uCOS-II下的timer使用实例.高效的编程风格,很值得参考.32. 包括了ucosII在多个操作系统上的移植实例.33. 基于单片机实验装置的A/DD/A实验 实验台能够实现交通灯打印等.34. 多功能时钟.35. dds信号发生器.36. STC-Download/STC-ISP下载工具(STC89C51单片机在线下载器) 必须组件: SPComm(必须), VCLSkin(可以去掉) -----------------------.37. 好像没结果!液晶控制.38. 用51单片机实现的在屏幕上显视文字,外接一颗MT230OSDIC.39. PIC单片机上用C语言写的TW88TFT屏控制程序,有注释.40. 在51单片机上用C写的T100,T101TFT屏的控制程序,有OSD.

    标签: 机构 结构设计

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(51)

    VIP专区-嵌入式/单片机编程源码精选合集系列(51)资源包含以下内容:1. 上传一个带源代码的嵌入式实时多任务操作系统CMX.2. 数字钟可以说明的具体功能都在文件家中,请仔细参阅,希望大家可以相互学习,共同进步.3. i2c主模块的底层驱动,使用方便简单,可以用任何才c开发工具开发.4. Altare公司训练新人的练习题下载 FPGA/CPLD.5. 该系统是一个温度测控系统,传感器采用tlc549,内付有proteus的仿真图形,可以模拟外围电路,程序采用c,开发环境是keil c..6. 该程序是iic程序的测试程序.7. 该程序是了解51,想深入了解嵌入式系统的很好的实例!本程序对us/os ii实现了成功的移植.8. 智能电动小车.9. 本人写的51开发板的串口通信程序.10. cypress的an2131开发板原理图.11. 嵌入式软件模拟测试平台的设计与实现技术.12. 基于ARM和μCOS_II的电液比例控制系统的设计.13. VxWorks操作系统在S3C4510B上的移植.14. 基于Linux的嵌入式LCD设计.15. s3c44b0下外部中断测试程序。带有按键及串口显示。.16. ATMEL公司的AT91M55800芯片的开发板原理图.17. 用PIC16F877实现的基于Hoarder board的C源程序.18. 基于RM9200主芯片.19. 这是非常好的vhdl例子.20. 嵌式系统设计与实例开发实验教材1(清华大学出版社).魏洪兴、周亦敏编著 基于S3C44B0的键盘扫描以及LED显示实验代码.21. wince下drve驱动测试程序大家学习使用.22. 用在COM和嵌入系统开发的示例性程序源码有详细的开发说明..23. 新闻发布系统.24. 这是基于altera的片上处理器nios 的一个IP电话终端的设计,来源altera的电子设计文章大赛..25. 一个使用Mscomm控件编写的串口通信软件.26. nRF24L01 software driver running on AT89C5131A micrcontroller..27. 关于电力自动化远动产品谣信、遥控的电路原理图.28. 电力自动化通讯通道中电话MODEM的原理图.29. 用c语言编写MP3 源程序.30. uc/os2.83最新源代码.31. 基于I2C的RTC(实时时钟)的小程序,用c编写的,实现的是年历功能.32. xml解析器(在niosII环境下用C语言开发的).33. 基于CPLD的FSK信号发生器的设计.PDF.34. 基于CPLD的多功能信号发生器设计.PDF.35. CPU是S3C44B0X,是学习时可用来参考,很好的原理图.36. vte 是linux下的一款控制终端程序.37. 通过一个实例.38. wisgo7007的开发方案的原理图文件.39. 一款ccd摄像头的原理图设计.40. 这是单机测试串口发送和接收数据的程序,很简单啊!完成基本的串口工作.

    标签: 液压系统 消除方法

    上传时间: 2013-06-02

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(52)

    VIP专区-嵌入式/单片机编程源码精选合集系列(52)资源包含以下内容:1. C8051F020的SED1335驱动程序.2. AD7714 AD转换keil C51 源代码.3. C8051F020 SMB 总线驱动源代码.4. 温度传感器18B20的keil C51驱动程序.5. C8051F020读写24C256的keil c51程序.6. 51+sl811读写U盘的源程序+原理图.7. 基于CPLD的二进制码转换为二十进制(BCD)码的电路[1].8. 从大量的wince源代码中剥离出的fat文件系统源代码。移植性非常高。 微软的代码.9. 凌阳MINI OS 实例.10. threadx操作系统源代码之.11. threadx操作系统源代码之.12. threadx操作系统源代码之.13. threadx操作系统源代码之.14. 免费的tcp ip 协议的pcb sch.15. windows mobile pocket pc移动开发源代码书籍.16. 附件程序是我用DSP2812开发的无刷直流电机控制程序.17. 步进电机控制系统.18. 多数位分频器.............................................可直接编译.19. 自己写的c语言版的软件实现cpu的pipeline功能的程序。对于学习体系结构的同仁有好处。.20. 一个多级菜单的例子.21. vhdl语言.22. 倒车雷达源代码.23. 一个很有用的USB开发的简单例子.24. 常用的窜口调试器.25. 用MAX6959实现的键盘以及数码显示原代码.26. 基于ARM的生物识别系统.27. 51的IO口模拟UARTRS232 波特率9600 Fosc:22.1184MHz 已在keilc51调试通过.28. 数控恒流源电路原理图 是03年全国大学生电子大塞提.29. 图书馆管理系统..大家多点给我鼓励给我支持哦...我会多点上载代码到这里的..30. 掏美元培训得来的某外国公司推广keil ARM 和LPC2100的源码。.31. BT878 图象显示 VxWorks 驱动程序.32. 我自己用的MSP430的JTAG。包括原理图和PCB版图!AD6软件打开。.33. 书名“全能混合电路仿真 OrCAD PSpice A-D V9”.34. S3530a和C8051f020的通信测试程序!.35. 本文介绍了在基于ARM7的嵌入式系统上开发 USB接口的详细内容。.36. ucos移植到m64.37. AVRcamVIEW/jre/bin/java -jar /opt/AVRcamVIEW/lib/AVRcamVIEW.jar.38. 最新ZigBee1.1协议规范.比ZigBee0.8有所改进..39. 关于zigbee的一篇论文,国外的,写的相当不错,推荐同行的.40. PID是比例、积分、微分三个词语的英文缩写.

    标签: 汽车维修 培训教材 电工

    上传时间: 2013-05-29

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(55)

    VIP专区-嵌入式/单片机编程源码精选合集系列(55)资源包含以下内容:1. this file is for keil LCD.2. this file is for keil motor.3. 使用keil C51编译的DDS的LED显示源码.4. 使用QUARTUS 2编译的DDS的源码.5. 使用keil C51编译的计时器的LED显示源码.6. WINCE下数据库的一些操作.7. modbus协议栈.8. 2004液晶屏与89c52组成的电子钟.9. 1.汉明编码的硬件实现vhdl语言 2.测试用.10. 一个MCS51+1620LCD的液晶C程序.11. SD卡与ARM7在SPI模式下进行数据读写原代码.12. CPLD FPGA常用protel库.13. MSP430F149的两个USATR 在实现SPI通讯.14. protues 仿真 intel8255,很好的软件.15. protues 仿真 adc0808和dac0808,很好的软件.16. protues 仿真 UART发送hello,很好的软件.17. 用KEIL C编写的绘图型 LCD 数字时钟.18. alsa codec play music and i2c.19. 用C语言编的功能很齐全的交通灯程序.20. 12位11通道AD转换芯片tlv2553,12位双通道DA转换芯片tlv5638.21. Anlog 公司的DSP,ADSP-BF531并口模拟spi引导程序,是采用BF531从引导模式。.22. 用opengl实现的bsp管理3D场景的算法.23. 针对杭州中正公司的SM-62光学指纹识别模块在Atmega128芯片板上移植后的测试程序.24. 本段源码是将上海一维的指纹识别模块移植到ATmega128芯片后的测试程序.25. 这是基于立宇泰公司的ARM板开发的彩色液晶图象显示代码.26. DS18B20的温度采样C程序,思路简洁明了,希望适合的工程.27. 数字时钟:LCD+凌阳SPCEO61A,通过中断计时,LCD显示,界面简洁宜人.28. 时间和温度同时拥有,一次满足你两个愿望,而且友好的界面,一定合你心意..29. 硬件设计不可多得的资料.30. 实现温度检测功能.31. 基于MSP430的程序代码.32. 基于MSP430的程序代码.33. 实现气象站信息采集功能.34. 320*240液晶,Ra8803芯片控制程序,keil8.09编译..35. C51控制24CO2及24C04.36. matlab下.37. 四位BCD码数字显示电路.38. 介绍vhdl硬件描述语言的特点及设计思想.39. CRC 算法实现原理.40. 用verilog写的FIR滤波器,不错可以.

    标签: 表面处理 新工艺 新技术 新标准

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(60)

    VIP专区-嵌入式/单片机编程源码精选合集系列(60)资源包含以下内容:1. 16 * 16 的127个ascii码的点阵字库.2. ARM MP3解码源代码 实现MP3播放应用.3. 本文介基于CPLD和USB的多路温度数据采集系统.4. 89c51+sj1000 的发送例程 can2.0 扩展帧.5. 一个很好的串口类.6. cpld状态及设计。 很好的文章。 要设计vhdl状态机的话.7. c51formenu.c该文件是一个用c51编写一个menu的例子..8. MSP430F449 子程序,MSP-FET430P440 Demo - USART1 UART 38400 Echo ISR, DCO SMCLK..9. MSP-FET430P440 Demo - WDT Toggle P5.1 Interval overflow ISR, DCO SMCLK.10. MSP-FET430P440 Demo - WDT Toggle P5.1 Interval overflow ISR, 32kHz ACLK.11. MSP-FET430P440 Demo - BasicTimer Toggle P5.1 using ISR, DCO SMCLK.12. MSP-FET430P440 Demo - BasicTimer Toggle P5.1 using ISR, 32kHz SMCLK.13. MSP-FET430P440 Demo - Timer_A PWM TA1-2 upmode, DCO SMCLK.14. 恒颐arm9原理图(protel),直接可用.15. protel中CPLD器件的库可以方便的放进protel中.16. 这是一个以太网接口RTL8019AS和电路图一份.希望对大家有点参考.17. 关于lpc2292外接ds1302时钟芯片的驱动程序.18. 本文分别以GPI0口直接连接、串并转换连接、CPLD分部连接三种方法阐述了无外部总线的Philips ARM微控制器LPC2l0X与点阵图形液晶显示器的接口设计,并给出了硬件电路框图和主要程序。.19. arm 板的原理图 是三星的.20. BSP-15:高性能媒体处理DSP芯片开放指南说明文档.21. 如果您刚接触嵌入式开发.22. TMS320LF2407A原理图.23. 嵌入式arm-linux 2.6内核 s3c2410 的i2c总线驱动程序.24. 输入两组数.25. 应用VHDL、CPLD、EDA开发软件设计数字系统,能够显著增强设计的灵活性,提高产品的性能,减轻设计的工作量,缩短设计周期。传统的“固定功能集成块+连线”的设计方法正逐步地缩小应用范围,而基于芯片的.26. 使用lpc2114arm7内核的两个工程实例,采用ads编译器进行编译.27. 嵌入式系统开发的中英文词汇表并有详细注解,具有参考价值.28. 本程序仅供广大电子爱好者制作MP3学习和参考使用.29. arm-linux下.30. 适用于ucosii操作系统的文件系统.31. LCD 控制源代码.32. 用java写的GUI Analog Clock,用上了Observer,可以更改时间.33. s3c2410平台 直流电机有用的demo!大家共享.34. s3c2410平台 步进电机的demo.35. s3c2410平台can总线的一个例子.36. 音乐程序主要是控制频率而达到效果.37. 240x128 graphic mode/Driver ic:t6963C writed by hawk liu 2001 Aug. 22 1/128 duty 1/12.3 bia.38. USB芯片CH375EVT 资料源程序.39. 51 模拟SPI 51 模拟SPI 51 模拟SPI 51 模拟SPI.40. 基于时钟芯片DSC12C887的实时时钟系统.

    标签: 工程 手冊

    上传时间: 2013-04-15

    上传用户:eeworm