虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

uc gui 3.9

  • VIP专区-嵌入式/单片机编程源码精选合集系列(44)

    VIP专区-嵌入式/单片机编程源码精选合集系列(44)资源包含以下内容:1. 凌阳单片机扩展8255 为用户在中提供一个I/O 扩展的方法.2. 利用单片机的定时器.3. music接管0.5W扬声器,就可以唱歌了,如果你觉得不好听,就调节一下与时间有关了延迟涵数,看看有何变化..4. VHDL应用与开发实践 VHDL应用与开发实践.5. JamVM是一种很优秀的嵌入式Java虚拟机.6. OK系列PCI图象卡编程程序,MFC方式,压缩形式.7. 一种有效控制温度的智能温度记录仪.8. 这是关于VxWorks下IPv6协议的实现的硕士论文,欢迎分享.9. 很适用的PS/2源程序,欢迎大家下载. 目前比较流行.10. 汗诺塔.11. 手持点菜设备得一个嵌入式系统.12. 51 与fifoidt7202的控制编程 供大家参考.13. 8254 和串口 的程序 实际工程项目作了少许删改 大家参考.14. 这是一个4*4键盘控制液晶显示器的汇编语言程序。是用MICROCHIP的MPLAB IDE编写的。附有硬件连接方式。.15. 这是用PIC单片机控制键盘和APR9600芯片.16. 51单片机程序源码.17. 这是一个在minigui下面用來实现曲线动态显示功能的一段代碼.18. 该段代码实现了在arm2410的平台下使用gprs模块实现收发短消息.19. 该程序实现公园导游功能.20. 此程序实现了表达式求值.21. 5个单片机程序。TCP_IP.c带注解:凌阳单片机的。dds.c51:51单片机.22. 嵌入式系统及实时软件开发,好书希望大家喜欢.23. 嵌入式系统中Bootloader的设计与实现.24. 用神经网络来跟踪博弈过程.25. 用maxplus2实现的一种通用逻辑模块.26. 星光s3c44b0 ARM开发板的一些比较好的供学习的示例程序.27. TI TMS320C5000的算法设计标准 有源码.28. ucos gui原代码.29. cirrus的ep7312的各个测试程序.30. 一个在TI的MSP430单片机上移植UCOS2操作系统的例子.31. 一个在430单片机上移植UCGUI的例子.32. PLD编程笔记 使用abel语言的有关个人心得笔记.33. 关于pld编程笔记的进一步补充。 对前文错误有些纠正.34. 介绍ispexpert的使用方法.35. 步进电机的和序.36. N6221的单片程序.37. LED灯的一些源码.38. LCD液晶的应用程序.39. 步进电机的源代码.40. 串口通信的程序源代码.

    标签: 机床 夹具 设计手册

    上传时间: 2013-05-29

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(45)

    VIP专区-嵌入式/单片机编程源码精选合集系列(45)资源包含以下内容:1. 详细的介绍了minigui的原理及其在linux上的实现..2. c8051f04x_cn数据手册中文版c 8051f04x_cn数据手册中文版.3. 汇编时钟程序.4. 这是一个minweb原程序.5. 做液晶显示用的获得汉字字模区位码的软件和一些例城.6. 这是移植到hcs12上的UC/OS-ii程序 欢迎使用.7. 数据采集模拟端口选择.8. 用模拟比较器实现AD模拟转换.9. 用c语言编写的SPI总线在单片机中的应用源代码.10. 用c语言编写的计算器的源代码.11. 单片机液晶显示器点阵的实现.12. 键盘扫描输入.13. ATmega128L单片机 数据手册 ATmega128L微控制器.14. S3C44B0X全套培训资料及源代码 e008s3c44b0x.15. FreeRTOS ver3.4.2 免费Real Time OS..16. 转载别人的作为下载源.17. 程序为步进电机程序.18. 此程序为红绿灯程序.19. ad采集程序.20. cvavrc编译器函数库介绍,对各函数介绍比较全。.21. 这里是对80c196的一个概述.22. 这是Chipcon公司推出的最新板Zigbee开发软件平台RFSmart_Studio6.20。CC2430.23. 一个很好用的12864液晶显示屏的驱动源程序.24. 有关ARM的详细的参考资料.25. 该程序是周立功公司开发的在lpc系列ARM的基础上开发SD卡的相关程序以及对应pc机程序.26. Easy JTAG Driver.27. gdb使用详解.doc 嵌入式开发努力学习中!.28. PCI9054应用程序(VC)是用VC开发的对应的应用程序.29. ucos2 内核的详尽代码.30. 基于s3c44b0的测试程序.31. 基于nios软核处理器的FPGA嵌入式片上系统中的mp3算法.32. 日本很有名的一个嵌入式RTOS.33. 一个小的操作系统包括应用程序很有参考价值.34. 一个开放源代码的AVR单片机编程器.35. QF量子框架源码.36. LU矩阵分解单机版最新版本.37. 随机长生一些温度直.38. 嵌入式程序.39. vxworks嵌入式程序.40. C语言开发用开Z80芯片的汇编语言... 软件类别:其他程序 运行环境:Win9x/NT/2000/XP.

    标签: 机械制造 工艺

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(46)

    VIP专区-嵌入式/单片机编程源码精选合集系列(46)资源包含以下内容:1. 此代码是关于UC/OS移植到KEILC51的具体实现,希望给正在学习的朋友一点参考.2. 51定时器应用程序 适当修改可用于定时控制应用.3. C语言嵌入式系统编程修炼之道,很不错的关于如何用c语言开发嵌入式系统,值得一看,受益非浅.4. AT91SAM7s64调试笔记包括各种外围设备的环节.5. 51的一个DEMO,文件的内容包含原理图.6. LCD TV,uVision2 Project, (C) Keil Software.7. 一些ARM芯片的映射文件.8. 嵌入式liunux系统中的串口测试程序.9. 一个tcp/ip协议栈,带有PPP、IP、TCP、UDP等协议.10. java编写的记事本程序.11. splc501程序,有所需要的驱动程序和相应的演示程序.12. 44b0x测试程序.13. PCB设计问题集.14. 2410处理器bootloader,的初试化配置和 系统调用的寄存器配置函数.15. NAND FLASH通用的读写.16. Norflash通用的读写.17. 飞利浦公司的LPC935单片机复位程序的源码.18. philips的MPEG2编码芯片SAA6752的驱动程序源码.19. 这也是PHILIPS系列A/D解码芯片SAA7115的驱动程序源码.20. UCOSII下的DA实验,实验板为S3C44B0.21. UCOSII下的键盘实验.实验板子为S3C44B0.22. 数字系统高层次综合讲座的讲义.23. VLSI系统设计.24. i2c源码vhdl语言编写.25. 6502汇编 算术运算库 8bit乘以8bit.asm 8bit除以8bit.asm 16bit乘以16bit.asm.26. 串口通讯.27. 详细介绍了关于CF卡的存储结构.28. 有关HART通讯命令协议和使用说明.29. MC141585编程应用.30. 调协器读写程序.31. uCOS-II下的timer使用实例.高效的编程风格,很值得参考.32. 包括了ucosII在多个操作系统上的移植实例.33. 基于单片机实验装置的A/DD/A实验 实验台能够实现交通灯打印等.34. 多功能时钟.35. dds信号发生器.36. STC-Download/STC-ISP下载工具(STC89C51单片机在线下载器) 必须组件: SPComm(必须), VCLSkin(可以去掉) -----------------------.37. 好像没结果!液晶控制.38. 用51单片机实现的在屏幕上显视文字,外接一颗MT230OSDIC.39. PIC单片机上用C语言写的TW88TFT屏控制程序,有注释.40. 在51单片机上用C写的T100,T101TFT屏的控制程序,有OSD.

    标签: 机构 结构设计

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(48)

    VIP专区-嵌入式/单片机编程源码精选合集系列(48)资源包含以下内容:1. 51单片机上移植UCOSII,通过调试.2. dspgetway源代码.3. 针对三星ARM处理器的flash烧写程序.4. 优龙S3C2410平台的用ADS1.2进行编译的程序.5. 红外解码程序.6. at91sam7s64的一个应用.使用ads1.2编译器,实现usb口的通讯功能..7. at91sam7s63,ads1.2编译器 本程序为为一个简单的跑马灯演示: 1.8. 本程序为完成各种中断的演示.at91sam7s64芯片,ads1.2编译器.代码说明: 1)完成基本的初始化 2)初始化PIO,IRQ,FIQ,software_interrupt等 3).9. 是介绍ISE的非常好的教程.10. ucGUI上的汉字子模提取工具.11. ucGUI的一些使用例子.12. 基于EasyArm2200和ucOS2的SD卡文件系统的实现.13. 芯片.14. 芯片.15. 包含vxWorks的许多底层API源码.16. 用汇编写的一个键盘 程序 纯属练习 没价值 用的是矩阵扫瞄法.17. 参加今年的比赛肯定要用的。谢谢支持。祝大家好运!.18. 文件包括了S3C2410基础试验源代码中的DA转换.19. 文件中包括了S3C2410基础试验源代码的触摸屏源代码.20. ucos 移植实验成功把ucos移植到2410上建立十个任务.21. s3c2410ucos 移植实验成功把ucos移植到2410上建立十个任务.22. 文档中包括S3C2410基础试验中VGA显示(ADS)中调试通过的源代码.23. PIC网卡控制器ENC28J60的PIC单片机程序源代码(c).24. 操作系统为wince,开发环境为EVC,有关窗口的应用程序.25. 在keil平台下用c语言开发的基于89c2051的小程序.26. 操作系统为wince,开发环境为EVC,有关串口的应用程序.27. 操作系统为wince,开发环境为EVC,有关网络的应用程序.28. 操作系统为wince,开发环境为EVC,有关数据库的应用程序.29. 操作系统为wince,开发环境为EVC,有关PING的应用程序.30. uC/OS移植到x86上的范例1.31. pdf文件,是用来控制液晶屏输入输出的的器件.32. ATMEL AT91SAM USB Mass Storage Module.33. 自己修改的U-boot1.1.4For AT91RM9200DK. 请用armgcc3.3.2编译。.34. ZLG的Smartarm2200上uClinux boot代码.35. AT91RM9200的USB host测试代码.36. AT91RM9200的网口测试代码.37. AT91RM9200的DS1307 RTC 测试代码.38. 利用该源代码可以实现在DE2的板子上进行USB画笔的实验.39. Pic18F458的Can通信模块代码.40. 51单片机模拟2272解码,采用中断方法.

    标签: 机械制造 工艺基础

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(49)

    VIP专区-嵌入式/单片机编程源码精选合集系列(49)资源包含以下内容:1. 凌阳非接触式红外测温传感器的C51源程序。.2. 液晶模块LCD2X8C驱动程序.3. 含t h r e a d x,u c o s 的b s p.4. 液晶sed1335芯片.5. 8237可编程DMA控制器altera提供.6. xilinx嵌入式开发源码.7. ZLG的LPC2220读取SD卡的源代码.8. 三星44b0 usbpid驱动程序.9. 三星44B0的一款BOOTLOADER.10. i2c_slave mode for pic.11. max7219驱动.12. max7219控制程序.13. 语音通用程序.14. adc通用程序.15. 通用语音资料.16. ATMEGA128....液晶显示程序.17. 基于MSP430的单片机的TC35/MC35的无线通讯MODEM.18. Uc-Os ii的多任务操作系统全部原代码(强烈推荐).19. nios开发ucos源码.20. MinOS嵌入式操作系统,C/C++源代码!基于KeilC51编译器..21. 基于51单片机的滤波程序.22. IIC读写程序.23. 无刷电机无位置传感器dsp程序.24. c51液晶显示程序,显示模块,可通用于基于HD44780内控器的液晶显示器..25. DP-51H下载仿真实验仪提供80C51与接口实例.ZLG7290例程*.26. DP-51H下载仿真实验仪提供80C51与接口实例.读EEPROM并显示例程*.27. 一个读取PCI配置空间的TOOL (在DOS下OR WIN 98).28. AT89C52实时时钟DS1302测试,带Proteus文件.29. 用AT89C52演奏音乐.30. 这个是安防用的控制键盘C源程序,采用KEIL C51开发.用于控制前端解码器!.31. 这是一个用于视频切换的控制程序,采用AT89C51芯片,KEIL 51开发.32. 自己近期写的一个串口通信的小程序.33. 这是基于蓝海微芯LJD-SY-XA+单片机开发系统的远程无线监控系统的DA及AD采集和数码显示的部分.34. 基于51内核的单片机的ucos-ii操作系统的移植的源代码.35. 此代码是实现将lwip协议移植于51单片机的测试程序.36. DOS下采用中断接收数据的串口通讯的例子,很难找到的好东西!.37. lpc2292的can总线的简单收发程序 底层驱动程序都有.38. 数字密码锁设计的源代码,喜欢的朋友就下载..39. 一个使用STGapiBuffer编程的例子.40. 好东西 AVR study.

    标签: 机械制造 工艺 夹具 工业

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(51)

    VIP专区-嵌入式/单片机编程源码精选合集系列(51)资源包含以下内容:1. 上传一个带源代码的嵌入式实时多任务操作系统CMX.2. 数字钟可以说明的具体功能都在文件家中,请仔细参阅,希望大家可以相互学习,共同进步.3. i2c主模块的底层驱动,使用方便简单,可以用任何才c开发工具开发.4. Altare公司训练新人的练习题下载 FPGA/CPLD.5. 该系统是一个温度测控系统,传感器采用tlc549,内付有proteus的仿真图形,可以模拟外围电路,程序采用c,开发环境是keil c..6. 该程序是iic程序的测试程序.7. 该程序是了解51,想深入了解嵌入式系统的很好的实例!本程序对us/os ii实现了成功的移植.8. 智能电动小车.9. 本人写的51开发板的串口通信程序.10. cypress的an2131开发板原理图.11. 嵌入式软件模拟测试平台的设计与实现技术.12. 基于ARM和μCOS_II的电液比例控制系统的设计.13. VxWorks操作系统在S3C4510B上的移植.14. 基于Linux的嵌入式LCD设计.15. s3c44b0下外部中断测试程序。带有按键及串口显示。.16. ATMEL公司的AT91M55800芯片的开发板原理图.17. 用PIC16F877实现的基于Hoarder board的C源程序.18. 基于RM9200主芯片.19. 这是非常好的vhdl例子.20. 嵌式系统设计与实例开发实验教材1(清华大学出版社).魏洪兴、周亦敏编著 基于S3C44B0的键盘扫描以及LED显示实验代码.21. wince下drve驱动测试程序大家学习使用.22. 用在COM和嵌入系统开发的示例性程序源码有详细的开发说明..23. 新闻发布系统.24. 这是基于altera的片上处理器nios 的一个IP电话终端的设计,来源altera的电子设计文章大赛..25. 一个使用Mscomm控件编写的串口通信软件.26. nRF24L01 software driver running on AT89C5131A micrcontroller..27. 关于电力自动化远动产品谣信、遥控的电路原理图.28. 电力自动化通讯通道中电话MODEM的原理图.29. 用c语言编写MP3 源程序.30. uc/os2.83最新源代码.31. 基于I2C的RTC(实时时钟)的小程序,用c编写的,实现的是年历功能.32. xml解析器(在niosII环境下用C语言开发的).33. 基于CPLD的FSK信号发生器的设计.PDF.34. 基于CPLD的多功能信号发生器设计.PDF.35. CPU是S3C44B0X,是学习时可用来参考,很好的原理图.36. vte 是linux下的一款控制终端程序.37. 通过一个实例.38. wisgo7007的开发方案的原理图文件.39. 一款ccd摄像头的原理图设计.40. 这是单机测试串口发送和接收数据的程序,很简单啊!完成基本的串口工作.

    标签: 液压系统 消除方法

    上传时间: 2013-06-02

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(60)

    VIP专区-嵌入式/单片机编程源码精选合集系列(60)资源包含以下内容:1. 16 * 16 的127个ascii码的点阵字库.2. ARM MP3解码源代码 实现MP3播放应用.3. 本文介基于CPLD和USB的多路温度数据采集系统.4. 89c51+sj1000 的发送例程 can2.0 扩展帧.5. 一个很好的串口类.6. cpld状态及设计。 很好的文章。 要设计vhdl状态机的话.7. c51formenu.c该文件是一个用c51编写一个menu的例子..8. MSP430F449 子程序,MSP-FET430P440 Demo - USART1 UART 38400 Echo ISR, DCO SMCLK..9. MSP-FET430P440 Demo - WDT Toggle P5.1 Interval overflow ISR, DCO SMCLK.10. MSP-FET430P440 Demo - WDT Toggle P5.1 Interval overflow ISR, 32kHz ACLK.11. MSP-FET430P440 Demo - BasicTimer Toggle P5.1 using ISR, DCO SMCLK.12. MSP-FET430P440 Demo - BasicTimer Toggle P5.1 using ISR, 32kHz SMCLK.13. MSP-FET430P440 Demo - Timer_A PWM TA1-2 upmode, DCO SMCLK.14. 恒颐arm9原理图(protel),直接可用.15. protel中CPLD器件的库可以方便的放进protel中.16. 这是一个以太网接口RTL8019AS和电路图一份.希望对大家有点参考.17. 关于lpc2292外接ds1302时钟芯片的驱动程序.18. 本文分别以GPI0口直接连接、串并转换连接、CPLD分部连接三种方法阐述了无外部总线的Philips ARM微控制器LPC2l0X与点阵图形液晶显示器的接口设计,并给出了硬件电路框图和主要程序。.19. arm 板的原理图 是三星的.20. BSP-15:高性能媒体处理DSP芯片开放指南说明文档.21. 如果您刚接触嵌入式开发.22. TMS320LF2407A原理图.23. 嵌入式arm-linux 2.6内核 s3c2410 的i2c总线驱动程序.24. 输入两组数.25. 应用VHDL、CPLD、EDA开发软件设计数字系统,能够显著增强设计的灵活性,提高产品的性能,减轻设计的工作量,缩短设计周期。传统的“固定功能集成块+连线”的设计方法正逐步地缩小应用范围,而基于芯片的.26. 使用lpc2114arm7内核的两个工程实例,采用ads编译器进行编译.27. 嵌入式系统开发的中英文词汇表并有详细注解,具有参考价值.28. 本程序仅供广大电子爱好者制作MP3学习和参考使用.29. arm-linux下.30. 适用于ucosii操作系统的文件系统.31. LCD 控制源代码.32. 用java写的GUI Analog Clock,用上了Observer,可以更改时间.33. s3c2410平台 直流电机有用的demo!大家共享.34. s3c2410平台 步进电机的demo.35. s3c2410平台can总线的一个例子.36. 音乐程序主要是控制频率而达到效果.37. 240x128 graphic mode/Driver ic:t6963C writed by hawk liu 2001 Aug. 22 1/128 duty 1/12.3 bia.38. USB芯片CH375EVT 资料源程序.39. 51 模拟SPI 51 模拟SPI 51 模拟SPI 51 模拟SPI.40. 基于时钟芯片DSC12C887的实时时钟系统.

    标签: 工程 手冊

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(70)

    VIP专区-嵌入式/单片机编程源码精选合集系列(70)资源包含以下内容:1. 数据采集 数据采集 数据采集.2. 对DS1302完整的驱动.3. 说明: 1. DL1MS: 延时1ms 子程序, DL01MS : 延时0.1ms 子程序 2. MOV R0,#80H 红外接收的数据的地址 80H~8DH 3. SHOW_RFCO.4. RS232转RS485电路原理图.5. 用中文介绍Xilinx公司FPGA/CPLD的集成开发环境-ISE软件的简单使用.6. ZIGBEE无线组网架构方案说明,主从方式祥述.7. 这是ZIGBE——13192光盘全部内容.8. 美国GE公司MIL-1553B测试程序.9. 适合开发板EasyARM2200、SmartARM2200、MagicARM2200和MagicARM2200-S.10. NIOS嵌入系统下硬件浮点指令单元加减乘除程序,已验证通过.11. nios系统诸多范例.12. nios系统下LVDS的ip源码.13. 数字温度计 单片及课程设计 C 语言设计1.14. nios总线与现场总线can的总线桥接口IP程序.15. 关键词:FPGA 数字电路 时序 时延路径 建立时间 保持时间.16. 4*4键盘c程序 4*4键盘c程序 4*4键盘c程序.17. 遥控器解码和1602驱动程序.18. 一个电话报警器的完整c语言源代码。可以供初学者学习学习。.19. PWM信号控制KeilC51演示程序.20. 这是一款经过制作,并且调试成功的无线话筒,是用protel画的原理图,还有元件的封装..21. 三星原厂的S3C2440开发板测试程序包括nandflash.22. 模拟I2C总线源程序.23. 三星s3c2440 usbdevice模块的下载程序.24. i2c通信汇编程序.25. 这是一张PROTEL图.26. 飞思卡尔的键盘中断源代码,C语言写的,很不错的.27. 8路数据循环采集.28. 刚才上载了LCD的中文件数据手册。现在再上一个自己写的比较通用的LCD驱动程序模版。.29. 再来一个IIC的时钟实现的例程吧。都是自己写的.30. 学习运用MFC封装类的DLL,可以实现GUI 的通用性..31. 本程序功能为在FPGA上nios处理器的网卡接口程序。.32. 本程序功能是在FPGA上nios处理器的lcd接口程序。.33. 本程序功能是在FPGA上nios处理器的flash接口程序。.34. 本程序功能是在FPGA上nios处理器的sram接口程序。.35. 本程序功能是在FPGA上nios处理器的usb接口程序。.36. 本程序详细介绍了LCD1602的使用方法及初始化..37. 有关语音的滤波以及自动增益控制电路的原理图以及PCB图.38. 周立功的LPC214X的例程关于AD转换的.单通道AD转换..39. 周立功LPC214X关于硬件出发转换的程序..40. LCM128645液晶范例(ST7920) 该液晶使用ST7920控制器.

    标签: 发动机 燃烧技术

    上传时间: 2013-06-07

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(72)

    VIP专区-嵌入式/单片机编程源码精选合集系列(72)资源包含以下内容:1. STC89C58RD+ FLASH-ISP-IAP.2. c51programe的程序可能有你想要的.3. ARM和CPLD的JTAG的原理图.4. MIPS Assembly Language Programming.5. 一个已经在使用的16位DAC8830的源码,有用的,欢迎下载.6. C51-CPLD联合开发板的原理图。以调试通过.7. 美国豪威OmniVision生产的COMS SENSOR 读写程序.8. 2232接收 232接收 232接收.9. minigui实例源代码.10. 本程序使用一个定时器和任意的2个I/O口模拟一个串口通信。可以直接调用。.11. 仿真实例大集合收集的仿真实例免费为大家提供.12. 仿真实例大集合收集的仿真实例免费为大家提供.13. 仿真实例大集合收集的仿真实例免费为大家提供.14. 8051AD,use keil to program this code..15. 51DEVTest use keil to program this code..16. beep use keil to program this code..17. use keil to program this code..18. use keil to program this code..19. 适合KS8695X.20. KS8695的无线部分.21. ks8695的SOHO应用中的tftp部分.22. ks8695 SOHO应用中的iptable.23. 也是ks8695 soho中的文件.24. 此程序为ds18b20温度采集芯片的keil c 程序没有加入显示的部分,但已调试过.可用.25. 红外模块的控制程序实现.26. 模数转换模块ad7416的控制程序.27. 利用keil 51实现温湿度模块的控制程序.28. 一些关于Nios II学习的好资料.29. 文档中给出了使用VHDL编写的频率的精确测量方法的代码,同时还有cPLD与e2rom等的接口代码.30. c程序开发嵌入式工程模板,适用于进行arm7开发.在s3c44b0x进行开发时,需要包含必要的库文件,初始化文件等,这些文件为公共码,不需修改,用户只需在模板中编写main.c.31. MARS-7128-S CPLD开发板VHDL 源码.32. c51 uc/os源程序.33. ZigBee技术手册.34. 8051工作于11.0592MHZ,RAM扩展为128KB的628128,FlashRom扩展为128KB的AT29C010A 128KB的RAM分成4个区(Bank) 地址分配为0x0000-0.35. 用CPLD实现NAND FLASH接口的VHDL源码.36. 音频编解码芯片 LM4930的测试程序.37. 串行通信源程序.38. UC/OS2 的源码 北航大学出版社的那个版本 邵贝贝翻译的.39. CPLD/FPGA设计中的时钟应用讲解 及其实例.40. 本程序实现了7279键盘驱动芯片的使用和金鹏公司生产的液晶显示功能.

    标签: 金属结构 制造

    上传时间: 2013-06-01

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(93)

    VIP专区-嵌入式/单片机编程源码精选合集系列(93)资源包含以下内容:1. Samsung S3C2410的Datasheet和电路图, 传上来跟大家分享下!.2. 我自己用的protel99老虎元件库.3. 可编程序控制器(PLC)原理及应用.4. sumsung44b0板子测试主程序文件.5. C语言模拟I2C总线的程序适合微处理器没有I2C总线接口而需要外接I2C总线接口的外设.6. 一个C51的在线下载器的源程序是一个很规范的下载器的程序.7. T1XX系列驱动AU7寸TFT屏的源程序.8. 80C51上电复位和复位延时的时序分析.9. MP3的Protel电路原理图.10. 这是一个翻译的SD的资料.11. 该程序实现的锁相环.12. 介绍异步FIFO结构的.13. linux I2C driver for pxa cpu, ov9640 camera. Also include a doc about linux I2C driver.14. linux FM radio driver for Siliconlab SI4703 chip,not copy, my development..15. 电感式位移检测的传感系统————我的课衬设计题目.16. 义统资料。关于CMOS芯片的.17. 2085固件的一个工具.18. 如果需要研究炬力的mp3体系.19. 一个s1mp3的模拟器.20. 具体也是mp3的一个工具吧.21. 温度湿度一体化传感器的C51测试程序,容易移植到其他嵌入式处理器上.22. labview6.2的RS232串口通信波形显示器..23. 使用移位减法完成32位除法操作。适用于没有除法指令的嵌入式处理器。源码简单.24. 自己编写的51嵌入式操作系统.25. 普光开发板的说明书 可显示大分辨率的图象.26. 音乐发生器及同步显歌词本试验从硬件电路原理图.27. 文件中包含了多种常电子原件的大小规格.28. FPGA高级设计.29. CPLD ARM等JTAG下载线,ISP编程使用.30. 高速FPGA(NIOS II)系统设计和实现.31. 电路一款SD卡读卡器电路图,图中有详细的引脚标记.32. 算法导论.33. 基于恩智浦dsp芯片的fir滤波源码.34. 基于恩智浦dsp芯片的idct源码.35. 用于测试基于英蓓特s3c44b0的flash擦写.36. 该原代码是uc/os-ii的完整代码,该操作系统结构清晰明了,适合自学,可作为学习嵌入式操作系统的入门读物.37. CardBus代码驱动等有用资料.38. pcmcia代码驱动等有用资料.39. pcmcia代码驱动等有用资料.40. 嵌入式电子书籍(经典)嵌入式技术开发设计内幕.

    标签: 汽车构造 图解

    上传时间: 2013-04-15

    上传用户:eeworm