虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

std_logic_vector

  • ---实现的部分VHDL 程序如下。   --- elsif clk1x event and clk1x = 1 then ---if std_logic_vector(length_no)

    ---实现的部分VHDL 程序如下。   --- elsif clk1x event and clk1x = 1 then ---if std_logic_vector(length_no) >= “0001” and std_logic_vector(length_no) <= “1001” then -----数据帧数据由接收串行数据端移位入接收移位寄存器---rsr(0) <= rxda --- rsr(7 downto 1) <= rsr(6 downto 0) --- parity <= parity xor rsr(7) --- elsif std_logic_vector(length_no) = “1010” then --- rbr <= rsr --接收移位寄存器数据进入接收缓冲器--- ...... --- end if

    标签: clk1x std_logic_vector length_no elsif

    上传时间: 2015-10-28

    上传用户:cainaifa

  • lcd计数显示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    标签: lcd 计数显示 程序

    上传时间: 2013-10-30

    上传用户:wqxstar

  • VHDL4选1数据选择器

    VHDL编写的4选一数据选择器 entity mux41a is        port(a,b:in std_logic;                s1,s2,s3,s4:in std_logic;                y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);

    标签: VHDL 数据选择器

    上传时间: 2020-05-15

    上传用户:cdga