虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

split-range

  • XAPP708 -133MHz PCI-X到128MB DDR小型DIMM存储器桥

      The Virtex-4 features, such as the programmable IDELAY and built-in FIFO support, simplifythe bridging of a high-speed, PCI-X core to large amounts of DDR-SDRAM memory. Onechallenge is meeting the PCI-X target initial latency specification. PCI-X Protocol Addendum tothe PCI Local Bus Specification Revision 2.0a ([Ref 6]) dictates that when a target signals adata transfer, "the target must do so within 16 clocks of the assertion of FRAME#." PCItermination transactions, such as Split Response/Complete, are commonly used to meet thelatency specifications. This method adds complexity to the design, as well as additional systemlatency. Another solution is to increase the ratio of the memory frequency to the PCI-X busfrequency. However, this solution increases the required power and clock resource usage.

    标签: PCI-X XAPP DIMM 708

    上传时间: 2013-11-24

    上传用户:18707733937

  • XAPP520将符合2.5V和3.3V I/O标准的7系列FPGA高性能I/O Bank进行连接

    XAPP520将符合2.5V和3.3V I/O标准的7系列FPGA高性能I/O Bank进行连接  The I/Os in Xilinx® 7 series FPGAs are classified as either high range (HR) or high performance (HP) banks. HR I/O banks can be operated from 1.2V to 3.3V, whereas HP I/O banks are optimized for operation between 1.2V and 1.8V. In circumstances that require an HP 1.8V I/O bank to interface with 2.5V or 3.3V logic, a range of options can be deployed. This application note describes methodologies for interfacing 7 series HP I/O banks with 2.5V and 3.3V systems

    标签: XAPP FPGA Bank 520

    上传时间: 2013-11-06

    上传用户:wentianyou

  • US Navy VHDL Modelling Guide

      This document was developed under the Standard Hardware and Reliability Program (SHARP) TechnologyIndependent Representation of Electronic Products (TIREP) project. It is intended for use by VHSIC HardwareDescription Language (VHDL) design engineers and is offered as guidance for the development of VHDL modelswhich are compliant with the VHDL Data Item Description (DID DI-EGDS-80811) and which can be providedto manufacturing engineering personnel for the development of production data and the subsequent productionof hardware. Most VHDL modeling performed to date has been concentrated at either the component level orat the conceptual system level. The assembly and sub-assembly levels have been largely disregarded. Under theSHARP TIREP project, an attempt has been made to help close this gap. The TIREP models are based upon lowcomplexity Standard Electronic Modules (SEM) of the format A configuration. Although these modules are quitesimple, it is felt that the lessons learned offer guidance which can readily be applied to a wide range of assemblytypes and complexities.

    标签: Modelling Guide Navy VHDL

    上传时间: 2013-11-20

    上传用户:pzw421125

  • PowerPCB培训教程

    欢迎使用 PowerPCB 教程。本教程描述了 PADS-PowerPCB  的绝大部分功能和特点,以及使用的各个过程,这些功能包括: · 基本操作 · 建立元件(Component) · 建立板子边框线(Board outline) · 输入网表(Netlist) · 设置设计规则(Design Rule) · 元件(Part)的布局(Placement) · 手工和交互的布线 · SPECCTRA全自动布线器(Route Engine) · 覆铜(Copper Pour) · 建立分隔/混合平面层(Split/mixed Plane) · Microsoft的目标连接与嵌入(OLE)(Object Linking Embedding) · 可选择的装配选件(Assembly options) · 设计规则检查(Design Rule Check) · 反向标注(Back Annotation) · 绘图输出(Plot Output)      使用本教程后,你可以学到印制电路板设计和制造的许多基本知识。

    标签: PowerPCB 培训教程

    上传时间: 2013-10-08

    上传用户:x18010875091

  • 各种功能的计数器实例(VHDL源代码)

    各种功能的计数器实例(VHDL源代码):ENTITY counters IS  PORT  (   d  : IN  INTEGER RANGE 0 TO 255;   clk  : IN BIT;   clear : IN BIT;   ld  : IN BIT;   enable : IN BIT;   up_down : IN BIT;   qa  : OUT  INTEGER RANGE 0 TO 255;   qb  : OUT  INTEGER RANGE 0 TO 255;   qc  : OUT  INTEGER RANGE 0 TO 255;   qd  : OUT  INTEGER RANGE 0 TO 255;   qe  : OUT  INTEGER RANGE 0 TO 255;   qf  : OUT  INTEGER RANGE 0 TO 255;   qg  : OUT  INTEGER RANGE 0 TO 255;   qh  : OUT  INTEGER RANGE 0 TO 255;   qi  : OUT  INTEGER RANGE 0 TO 255;

    标签: VHDL 计数器 源代码

    上传时间: 2013-10-09

    上传用户:松毓336

  • 集合式直流电能表(小功率的)

    集合式直流电能表(小功率的) 特点: 精确度0.05%满刻度±1位数 可同时量测与显示/直流电压/电流/瓦特(千瓦)/瓦特小时(千瓦小时) 电压输入(DC0-99.99V/0-600.0V)自动变档功能 显示范围0-9999(电流/瓦特/千瓦),0至99999999(八位數瓦特小时)可任意规划 数位RS-485 界面 (Optional) 主要规格: 辅助电源消耗功率:<0.35VA(DC12V/DC24V) <0.5VA(DC48V) <1.5VA(AC90-240V(50/60Hz)) 精确度: 0.05% F.S. ±1 digit (23 ±5℃) 输入范围:Auto range(DC0-99.99V/0-600.0V(DC voltage)) 输入抗阻:>5MΩ(DC voltage) 取样时间:10 cycles/second(total) 过载显示: " doFL " 显示值范围: 0-9999 digit(DCA/W(KW)) 0-9999999.999 digit(WH/(KWH)) RS-485传输速度: 19200/9600/4800/2400 selective RS-485通讯位址: "01"-"FF"(0-255) RS-485通信协议: Modbus RTU mode 温度系数: 50ppm/℃ (0-50℃) 显示幕:Bight Red LEDs high 10.16 mm(0.4") 参数设定方式: Touch switches 记忆方式: Non-volatile E²PROM memory 绝缘耐压能力:2KVac/1min.(input/output)(RS-485(Isolating)) 1600 Vdc (input/output) (RS-485(Isolating)) 使用环境条件: 0-50℃(20 to 90% RH non-condensed) 存放环境条件: 0-70℃(20 to 90% RH non-condensed) CE认证: EN 55022:1998/A1:2000 Class A EN 61000-3-2:2000 EN 61000-3-3:1995/A1:2001 EN 55024:1998/A1:2001

    标签: 直流 电能表 小功率

    上传时间: 2013-11-20

    上传用户:s363994250

  • 低噪声电压基准的噪声测量

      Frequently, voltage reference stability and noise defi nemeasurement limits in instrumentation systems. In particular,reference noise often sets stable resolution limits.Reference voltages have decreased with the continuingdrop in system power supply voltages, making referencenoise increasingly important. The compressed signalprocessing range mandates a commensurate reductionin reference noise to maintain resolution. Noise ultimatelytranslates into quantization uncertainty in A to D converters,introducing jitter in applications such as scales, inertialnavigation systems, infrared thermography, DVMs andmedical imaging apparatus. A new low voltage reference,the LTC6655, has only 0.3ppm (775nV) noise at 2.5VOUT.Figure 1 lists salient specifi cations in tabular form. Accuracyand temperature coeffi cient are characteristic ofhigh grade, low voltage references. 0.1Hz to 10Hz noise,particularly noteworthy, is unequalled by any low voltageelectronic reference.

    标签: 低噪声 电压基准 噪声测量

    上传时间: 2013-10-30

    上传用户:wxhwjf

  • Rotates an image by the angle degrees in the % CCW direction. Degrees may be any number. % The funct

    Rotates an image by the angle degrees in the % CCW direction. Degrees may be any number. % The function will put degrees in the range 0 % to 360 degrees and then into a range of -45 to 45 % degrees after performing elementary 90 degree rotations.

    标签: direction the Rotates Degrees

    上传时间: 2013-12-10

    上传用户:sardinescn

  • This program will ask how many numbers you want to find the average of, then it will allow you to en

    This program will ask how many numbers you want to find the average of, then it will allow you to enter your numbers(yes they can even be decimals) then it will calculate the mean, median, mode and range of what you enter.

    标签: will you program average

    上传时间: 2015-03-23

    上传用户:skhlm

  • The I2C Memory Model is a generic Proteus VSM model designed to model the timing and functionality o

    The I2C Memory Model is a generic Proteus VSM model designed to model the timing and functionality of I2C memory devices from a wide range of manufacturers.

    标签: model functionality designed Proteus

    上传时间: 2015-04-25

    上传用户:Divine