虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

picc-std

  • 一本很不错的介绍ARM STD的中文书

    一本很不错的介绍ARM STD的中文书,结合图片讲解,适合初学者使用

    标签: ARM STD

    上传时间: 2014-01-02

    上传用户:lyy1234

  • HI-TECH PICC 8.05PL1

    HI-TECH PICC 8.05PL1

    标签: HI-TECH 8.05 PICC PL

    上传时间: 2015-09-05

    上传用户:ainimao

  • 用PICC开发的RC500的完整C源程序。单片机用PIC16f73。

    用PICC开发的RC500的完整C源程序。单片机用PIC16f73。

    标签: PICC 500 16f PIC

    上传时间: 2015-09-23

    上传用户:ywqaxiwang

  • PICC单片机的I2C通讯 很短但是很使用,希望大家喜欢

    PICC单片机的I2C通讯 很短但是很使用,希望大家喜欢

    标签: PICC I2C 单片机 通讯

    上传时间: 2015-09-30

    上传用户:pompey

  • 利用PICC的C编译环境编译通过的PIC16F877a连接键盘和HD7279显示的C语言程序

    利用PICC的C编译环境编译通过的PIC16F877a连接键盘和HD7279显示的C语言程序

    标签: PICC F877 7279 877a

    上传时间: 2015-10-19

    上传用户:远远ssad

  • picc的破解版

    picc的破解版,不错,赶快下载了,

    标签: picc 破解版

    上传时间: 2015-11-27

    上传用户:helmos

  • #include<iostream> using namespace std int main() { unsigned long x,warcraft,war,l

    #include<iostream> using namespace std int main() { unsigned long x,warcraft,war,l cin> x while(x) { unsigned long *p=new unsigned long[x+1] if(p==NULL) { cerr<<"error!"<<endl abort() } for(warcraft=0 warcraft<=x warcraft++) *(p+warcraft)=0 *p=1 for(warcraft=0 warcraft<x warcraft++) { cout<<*p<<" " war=*p for(l=1 x>1 l++) { if(*(p+l)==0) { cout<<endl *(p+l)=1 break } else { cout<<*(p+l)<<" " *(p+l)=war+*(p+l) war=*(p+l)-war } } } cout<<endl delete [] p cin>>x } return 0 }

    标签: namespace iostream unsigned warcraft

    上传时间: 2015-12-12

    上传用户:manlian

  • 增加PICC支持PIC16F883,690等芯片

    增加PICC支持PIC16F883,690等芯片

    标签: PICC PIC 883 690

    上传时间: 2015-12-25

    上传用户:qoovoop

  • Hitech 公司的 PICC 编译器

    Hitech 公司的 PICC 编译器,它稳定可靠,编译生成的代码效率高,在用PIC 单片机进行系统设计和开发 的工程师群体中得到广泛认可。

    标签: Hitech PICC 编译器

    上传时间: 2016-01-01

    上传用户:manlian

  • MIL-STD一1553B是一种集中控制式、时分指令/响应型多路串行数据总线标 准

    MIL-STD一1553B是一种集中控制式、时分指令/响应型多路串行数据总线标 准,具有高可靠性和灵活性,已经成为现代航空机载系统设备互联的最有效的解 决方案,广泛的应用于飞机、舰船、坦克等武器平台上,并且越来越多的应用到 民用领域。完成1553B总线数据传输功能的关键部件是总线接口芯片11][41。 在对M几STD一1553B数据总线协议进行研究后,参考国外一些芯片的功能结 构,结合EDA技术,本论文提出了基于FPGA的1553B总线接口芯片的设计方案。 在介绍了总线控制器BC、远程终端RT的结构和功能后,给出了基于FPGA的BC、 RT的具体模块设计,通过工作方式选择可以配置接口工作在哪种终端模式。每个 终端的设计都给出了详细的逻辑结构、设计流程和功能仿真结果分析,最后通过 EDA工具的优化及综合后,在XIL刀呵X巧rtex一4上得以实现。 通过在标准1553B接口板和本设计实验板对接搭建的测试环境中进行各项功 能测试,表明此设计可以在BC胭汀两种模式下工作,能处理多种消息格式并且具 有较强的检错能力,能应付总线上传输的各种消息格式,验证的结果表明本文提 出的设计方案是合理的。

    标签: MIL-STD 1553B 集中控制 时分

    上传时间: 2014-01-04

    上传用户:www240697738