虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

mr-PRO

  • H.264帧内预测算法优化及几个重要模块的FPGA实现.rar

    H.264作为新一代视频编码标准,相比上一代视频编码标准MPEG2,在相同画质下,平均节约64﹪的码流。该标准仅设定了码流的语法结构和解码器结构,实现灵活性极大,其规定了三个档次,每个档次支持一组特定的编码功能,并支持一类特定的应用,因此。H.264的编码器的设计可以根据需求的不同而不同。 H.264虽然具有优异的压缩性能,但是其复杂度却比一般编码器高的多。本文对H.264进行了编码复杂度分析,并统计了整个软件编码中计算量的分布。H.264中采用了率失真优化算法,提高了帧内预测编码的效率。在该算法下进行帧内预测时,为了得到一个宏块的预测模式,需要进行592次率失真代价计算。因此为了降低帧内预测模式选择的计算复杂度,本文改进了帧内预测模式选择算法。实践证明,在PSNR值的损失可以忽略不计的情况下,该算法相比原算法,帧内编码时间平均节约60﹪以上,对编码的实时性有较大帮助。 为了实现实时编码,考虑到FPGA的高效运算速度和使用灵活性,本文还研究了H.264编码器基本档次的FPGA实现。首先研究了H.264编码器硬件实现架构,并对影响编码速度,且具有硬件实现优越性的几个重要部分进行了算法研究和FPGA.实现。本文主要研究了H.264编码器中整数DCT变换、量化、Zig-Zag扫描、CAVLC编码以及反量化、逆整数DCT变换等部分。分别对这些模块进行了综合和时序仿真,并将验证后通过的系统模块下载到Xilinx virtex-Ⅱ Pro的FPGA中,进行了在线测试,验证了该系统对输入的残差数据实时压缩编码的功能。 本文对H.264编码器帧内预测模式选择算法的改进,算法实现简单,对软件编码的实时性有很大帮助。本文对在单片FPGA上实现H.264编码器做出了探索性尝试,这对H.264编码器芯片的设计有着积极的借鉴性。

    标签: FPGA 264 帧内预测

    上传时间: 2013-06-13

    上传用户:夜月十二桥

  • 基于FPGA的图像压缩系统的设计与实现.rar

    随着信息技术和计算机技术的飞速发展,数字信号处理已经逐渐发展成一门关键的技术科学。图像处理作为一种重要的现代技术,己经在通信、航空航天、遥感遥测、生物医学、军事、信息安全等领域得到广泛的应用。图像处理特别是高分辨率图像实时处理的实现技术对相关领域的发展具有深远意义。另外,现场可编程门阵列FPGA和高效率硬件描述语言Verilog HDL的结合,大大变革了电子系统的设计方法,加速了系统的设计进程,为图像压缩系统的实现提供了硬件支持和软件保障。 本文主要包括以下几个方面的内容: (1)结合某工程的具体需求,设计了一种基于FPGA的图像压缩系统,核心硬件选用XILINX公司的Virtex-Ⅱ Pro系列FPGA芯片,存储器件选用MICRON公司的MT48LC4M16A2SDRAM,图像压缩的核心算法选用近无损压缩算法JPEG-LS。 (2)用Verilog硬件描述语言实现了JPEG-LS标准中的基本算法,为课题组成员进行算法改进提供了有力支持。 (3)用Verilog硬件描述语言设计并实现了SDRAM控制器模块,使核心压缩模块能够方便灵活地访问片外存储器。 (4)构建了图像压缩系统的测试平台,对实现的SDRAM控制器模块和JPEG-LS基本算法模块进行了软件仿真测试和硬件测试,验证了其功能的正确性。

    标签: FPGA 图像压缩系统

    上传时间: 2013-04-24

    上传用户:a3318966

  • 大功率LED集成的高压侧LED驱动器 电流检测和PWM调光MOSFET驱动器

    A dimming driver designed to drive an external n-channel MOSFET in series with the LED string pro

    标签: LED MOSFET PWM 驱动器

    上传时间: 2013-07-06

    上传用户:1583060504

  • 基于FPGA的8051 IP核的设计

    本文探索了自主系统CPU设计方法和经验,同时对80C51产品进行了必要的改进。 文章采用XILINX公司的Virtex-ⅡPro系列FPGA芯片,在相关EDA软件平台的支持下进行基于FPGA的8051芯片的设计。在已公开的8051源代码的基础上,对其中的程序存储器、指令存储器做了较大幅度的修改,增加了定时器、串行收发器的软件编写,VerilogHDL语句共6000余行(见附录光盘)。在设计中笔者特别的注意了源代码中组合逻辑循环的去除,时序设计中合理确定建立时间和保持时间,保证了工作频率的提高(工作频率由12MHz提高到约30MHz),串行收发器的下载实验验证了该模块频率的提高。对设计高频CPU提供了有益的借鉴。本文利用Modelsim进行了功能仿真和后仿真,利用Synplify进行了综合,仿真和综合结果达到了设计的预期要求,并为下载和组成系统作了准备工作(设计了外围电路的PCB板图)。

    标签: FPGA 8051 IP核

    上传时间: 2013-06-28

    上传用户:梧桐

  • MIMO-GMC系统中Turbo译码器的设计及FPGA实现

    Turbo码是一类并行级联的系统卷积码,它是在综合级联码、最大后验概率(MAP)译码、软输入软输出及迭代译码等理论基础上的一种创新。Turbo码的基本原理是通过对编码器结构的巧妙设计,多个子码通过交织器隔离进行并行级联编码输出,增大了码距。译码器则以类似内燃机引擎废气反复利用的机理进行迭代译码以反复利用有效信息流,从而获得卓越的纠错能力。计算机仿真表明,Turbo码不但在加性高斯噪声信道下性能优越,而且具有很强的抗衰落、抗干扰能力,当交织长度足够长时,其纠错性能接近香农极限。 FPGA(FieldProgrammableGateArray),即现场可编程门阵列,是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。FPGA技术具有大规模、高集成度、高可靠性、设计周期短、投资小、灵活性强等优点,逐步成为复杂数字硬件电路设计的理想选择。 本论文以东南大学移动通信实验室B3G课题组提出的“支持多天线的广义多载波无线传输技术”(MIMO-GMC)为背景,分析了Turbo译码算法,并针对MIMO-GMC系统的迭代接收机中所采用的外信息保留和联合检测译码迭代的特点,完成了采用滑动窗Log-MAP算法的软输入、软输出的Turbo译码器的设计。整个译码器模块的设计采用Verilog语言描述,并在VirtexⅡPro系列FPGA芯片上实现。

    标签: MIMO-GMC Turbo FPGA

    上传时间: 2013-04-24

    上传用户:shanml

  • Fairchild产品资料

    英飞凌科技股份公司近日推出适用于汽车动力总成和底盘应用的全新AUDO MAX系列32位微控制器。AUDO MAX系列可为发动机管理系统满足欧5和欧6排放标准提供支持,使电动汽车的动力总成功能实现电气化。AUDO MAX系列的主要特性包括:高达300MHz的最大时钟频率、SENT和FlexRay™等高速接口以及利用PRO-SIL™特性为先进安全设计提供全面支持。此外,这种全新的微控制器适用于在高达170°C*的温度条件下使用。AUDO MAX系列以TriCore™处理器架构为基础,采用90纳米工艺制造。

    标签: Fairchild

    上传时间: 2013-05-24

    上传用户:CHINA526

  • wang-pro-1.rar

    lis35de应用单片机程序,利用51单片机采集lis35de的数据并传给串口,设计lis35de的控制字,和三轴加速度的读取。

    标签: wang-pro

    上传时间: 2013-06-14

    上传用户:cmc_68289287

  • H.264帧内预测算法优化及几个重要模块的FPGA实现

    H.264作为新一代视频编码标准,相比上一代视频编码标准MPEG2,在相同画质下,平均节约64﹪的码流。该标准仅设定了码流的语法结构和解码器结构,实现灵活性极大,其规定了三个档次,每个档次支持一组特定的编码功能,并支持一类特定的应用,因此。H.264的编码器的设计可以根据需求的不同而不同。 H.264虽然具有优异的压缩性能,但是其复杂度却比一般编码器高的多。本文对H.264进行了编码复杂度分析,并统计了整个软件编码中计算量的分布。H.264中采用了率失真优化算法,提高了帧内预测编码的效率。在该算法下进行帧内预测时,为了得到一个宏块的预测模式,需要进行592次率失真代价计算。因此为了降低帧内预测模式选择的计算复杂度,本文改进了帧内预测模式选择算法。实践证明,在PSNR值的损失可以忽略不计的情况下,该算法相比原算法,帧内编码时间平均节约60﹪以上,对编码的实时性有较大帮助。 为了实现实时编码,考虑到FPGA的高效运算速度和使用灵活性,本文还研究了H.264编码器基本档次的FPGA实现。首先研究了H.264编码器硬件实现架构,并对影响编码速度,且具有硬件实现优越性的几个重要部分进行了算法研究和FPGA.实现。本文主要研究了H.264编码器中整数DCT变换、量化、Zig-Zag扫描、CAVLC编码以及反量化、逆整数DCT变换等部分。分别对这些模块进行了综合和时序仿真,并将验证后通过的系统模块下载到Xilinx virtex-Ⅱ Pro的FPGA中,进行了在线测试,验证了该系统对输入的残差数据实时压缩编码的功能。 本文对H.264编码器帧内预测模式选择算法的改进,算法实现简单,对软件编码的实时性有很大帮助。本文对在单片FPGA上实现H.264编码器做出了探索性尝试,这对H.264编码器芯片的设计有着积极的借鉴性。

    标签: FPGA 264 帧内预测 算法优化

    上传时间: 2013-05-25

    上传用户:refent

  • 基于FPGA的8位增强型CPU设计与验证

    随着信息技术的发展,系统级芯片SoC(System on a Chip)成为集成电路发展的主流。SoC技术以其成本低、功耗小、集成度高的优势正广泛地应用于嵌入式系统中。通过对8位增强型CPU内核的研究及其在FPGA(Field Programmable Gate Arrav)上的实现,对SoC设计作了初步研究。 在对Intel MCS-8051的汇编指令集进行了深入地分析的基础上,按照至顶向下的模块化的高层次设计流程,对8位CPU进行了顶层功能和结构的定义与划分,并逐步细化了各个层次的模块设计,建立了具有CPU及定时器,中断,串行等外部接口的模型。 利用5种寻址方式完成了8位CPU的数据通路的设计规划。利用有限状态机及微程序的思想完成了控制通路的各个层次模块的设计规划。利用组合电路与时序电路相结合的思想完成了定时器,中断以及串行接口的规划。采用边沿触发使得一个机器周期对应一个时钟周期,执行效率提高。使用硬件描述语言实现了各个模块的设计。借助EDA工具ISE集成开发环境完成了各个模块的编程、调试和面向FPGA的布局布线;在Synplify pro综合工具中完成了综合;使用Modelsim SE仿真工具对其进行了完整的功能仿真和时序仿真。 设计了一个通用的扩展接口控制器对原有的8位处理器进行扩展,加入高速DI,DO以及SPI接口,增强了8位处理器的功能,可以用于现有单片机进行升级和扩展。 本设计的CPU全面兼容MCS-51汇编指令集全部的111条指令,在时钟频率和指令的执行效率指标上均优于传统的MCS-51内核。本设计以硬件描述语言代码形式存在可与任何综合库、工艺库以及FPGA结合开发出用户需要的固核和硬核,可读性好,易于扩展使用,易于升级,比较有实用价值。本设计通过FPGA验证。

    标签: FPGA CPU 8位 增强型

    上传时间: 2013-04-24

    上传用户:jlyaccounts

  • 基于PLC的硝酸生产联锁报警控制系统的实现Realization of interlock alarm system based on PLC in nitric-acid producing pro

    本文阐述了硝酸生产联锁报警控制系统的意义,介绍了系统的特性和要求,提出了生产联锁报警的实现线路,给出了PLC实现的部分流程。现场应用表明系统可靠实用。关键词:PLC ;联锁;报警;硝酸

    标签: Realization nitric-acid PLC interlock

    上传时间: 2013-07-07

    上传用户:xingisme