虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

maC

maCintosh(简称maC)是苹果公司自1984年起开发的个人消费型计算机,包含如:imaCmaCmini、maCbookAir、maCbookPro、maCbook、maCPro等计算机。使用独立的maCOS系统,最新的maCOS系列基于NeXT系统开发,不支持兼容。是一套完备而独立的操作系统。
  • maC tomcat8

    maC版本tomcat8 我只是想要点积分,之后会删除

    标签: java

    上传时间: 2015-06-23

    上传用户:1216989342

  • ShadowsocksX-2.6.3 for maC

    ShadowsocksX-2.6.3 for maC 方便实用,一键切换

    标签: shadowsocks osx

    上传时间: 2016-01-26

    上传用户:xiong945

  • maC快捷键壁纸

    maC快捷键壁纸,要下的朋友抓紧了

    标签: maC 快捷键

    上传时间: 2016-05-16

    上传用户:test0089

  • 无线传感器网络基于时分复用的maC协议

    无线传感器网络基于时分复用的maC协议               

    标签: 无线传感器网络

    上传时间: 2022-03-16

    上传用户:fliang

  • USB_I2C_maC_FPGA_Code.rar

    通信接口程序,使用VERILOG编写的FPGA所用的USB,I2C,maC程序。

    标签: C_maC_FPGA_Code USB_I

    上传时间: 2013-06-27

    上传用户:gaoxiaonea

  • 高速实时信号处理系统的FPGA软件设计与实现.rar

    随着现代DSP、FPGA等数字芯片的信号处理能力不断提高,基于软件无线电技术的现代通信与信息处理系统也得到了更为广泛的应用。软件无线电的基本思想是以一个通用、标准、模块化的硬件系统作为其应用平台,把尽可能多的无线及个人通信和信号处理的功能用软件来实现,从而将无线通信新系统、新产品的开发逐步转移到软件上来。另一方面,现代信号处理系统对数据的处理速度、处理精度和动态范围的要求也越来越高,需要每秒完成几千万到几百亿次运算。因此研制具备高速实时信号处理能力的通用硬件平台越来越受到业界的重视。 @@ 目前的高速实时信号处理系统一般均采用DSP+FPGA的架构,其中DSP主要负责完成系统通信和基带信号处理算法,而FPGA主要完成信号预处理等前端算法,并提供系统常用的各种外部接口逻辑。本文的主要工作就在于完成通用型高速实时信号处理系统的FPGA软件设计。 @@ 本文提出了一种基于多DSP与FPGA的通用高速实时信号处理系统的架构。综合考虑各方面因素,作者选择使用两片ADSP-TS201浮点DSP以混合耦合模型构成系统信号处理核心;以Xilinx公司最新的高性能FPGA Virtex-5系列的XC5VLX50T提供系统所需的各种接口,包括与ADSP-TS201的高速Linkport接口以及SPI、UART、SPORT等常用外设接口。此外,作者还选择了ADSP-BF533定点DSP加入系统当中以扩展系统音视频信号处理能力,体现系统的通用性。 @@ 基于FPGA的嵌入式系统设计正逐渐成为现代FPGA应用的一个热点。结合课题需要,作者以Xilinx公司的MicroBlze软核处理器为核心在Virtex-5片内设计了一个嵌入式系统,完成了对CF卡、DDR2 SDRAM存储器的读写控制,并利用片内集成的三态以太网maC硬核模块,实现了系统与上位PC机之间的以太网通信链路。此外,为扩展系统功能,适应未来可能的软件升级,进一步提高系统的通用性,还将嵌入式实时操作系统μC/OS-II移植到MicroBlaze处理器上。 @@ 最后,作者介绍了基于Xilinx RocketIO GTP收发器的高速串行传输设计的关键技术和基本的设计方法,充分体现了目前高速实时信号处理系统的发展要求和趋势。 @@关键词:高速实时信号处理;FPGA;Virtex-5;嵌入式系统;MicroBlaze

    标签: FPGA 实时信号 处理系统

    上传时间: 2013-05-17

    上传用户:wangchong

  • 基于FPGA的数字信号处理算法研究与高效实现.rar

    现代数字信号处理对实时性提出了很高的要求,当最快的数字信号处理器(DSP)仍无法达到速度要求时,唯一的选择是增加处理器的数目,或采用客户定制的门阵列产品。随着可编程逻辑器件技术的发展,具有强大并行处理能力的现场可编程门阵列(FPGA)在成本、性能、体积等方面都显示出了优势。本文以此为背景,研究了基于FPGA的快速傅立叶变换、数字滤波、相关运算等数字信号处理算法的高效实现。 首先,针对图像声纳实时性的要求和FPGA片内资源的限制,设计了级联和并行递归两种结构的FFT处理器。文中详细讨论了利用流水线技术和并行处理技术提高FFT处理器运算速度的方法,并针对蝶形运算的特点提出了一些优化和改进措施。 其次,分析了具有相同结构的数字滤波和相关运算的特点,采用了有乘法器和无乘法器两种结构实现乘累加(maC)运算。无乘法器结构采用分布式算法(DA),将乘法运算转化为FPGA易于实现的查表和移位累加操作,显著提高了运算效率。此外,还对相关运算的时域多maC方法及频域FFT方法进行了研究。 最后,完成了图像声纳预处理模块。在一片EP2S60上实现了对160路信号的接收、滤波、正交变换以及发送等处理。实验表明,本论文所有算法均达到了设计要求。

    标签: FPGA 数字信号处理 算法研究

    上传时间: 2013-06-09

    上传用户:zgu489

  • 基于FPGA的10M100M以太网控制器的设计.rar

    随着以太网技术的不断发展,网络的传输速度已经由最初的10M发展到现在的10,000M。用可编程逻辑器件(FPGA)实现以太网控制器与其它SOC系统的互连成为当前的研究热点。本文阐述了maC层的FPGA设计、仿真及测试;介绍了整个系统的内部结构、模块划分,并对各个模块的设计过程进行了详细阐述,接着介绍了开发环境和验证工具,同时给出测试方案、验证数据、实现结果及时序仿真波形图。 对maC层的主要功能模块如:发送模块、接收模块、maC流程控制模块、寄存器模块、MⅡ接口模块和主机接口模块以及CRC,CSMA/CD,HASH表等算法给出了基于FPGA及硬件描述语言的解决方法。 本课题针对以下三个方面进行了研究并取得一定的成果: 1)FPGA开发平台的硬件实现。选用Xilinx公司的XC3S1000-FT256-4-C和ATMEL公司的ARM9200作为测试的核心器件,采用LXT971芯片作为物理层芯片,AT91RM9200作为数据输入源和双blockram作为帧缓存搭建FPGA硬件验证开发平台。 2)基于FPGA实现以太网控制器。用VerilogHDL语言构建以太网控制器,实现CSMA/CD协议、10M/100M自适应以及与物理层MⅡ接口等。 3)采用片上系统通用的WS接口。目的是便于与具有通用接口的片上系统互连,也为构建SOC上处理器提供条件。 本论文实现了一个基于WS总线接口可裁减的以太网maC控制器IP软核,为设计具有自主知识产权的以太网maC控制器积累了经验。同时,为与其它WS接口的控制器实现直接互连创造了条件,对高层次设计这一先进ASIC设计方法也有了较为深入的认识。

    标签: 10M100M FPGA 以太网控制器

    上传时间: 2013-07-17

    上传用户:bruce

  • 嵌入式TCPIP协议的FPGA实现.rar

    随着Internet的不断发展,人们希望日常生活中所用到的嵌入式设备都能够很方便地实现Intemet接入,这对嵌入式系统设计提出了新的挑战,要求低成本、多功能、高性能。这些是目前嵌入式系统设计的热点。 可编程逻辑器件FPGA在过去的几十年中取得了飞速发展,从最初的几千门到现在的几百万门,可靠性与集成度不断提高,而功耗和成本却在不断降低,具有很高的性价比。再加上开发周期短、对开发人员的要求相对较低的优点,因此被大量应用于嵌入式系统设计中。 本文是基于FPGA高性价比、可灵活配置的特点,也是当前流行的“微控制器+FPGA”的嵌入式系统设计方式,所以我们提出了基于FPGA的实现方案。本文通过在FPGA中硬件实现嵌入式TCP/IP协议(包括UDP、IP、ARP、TCP等网络协议)以及以太网maC协议,并提供标准MII接口,通过外接PHY实现网络连接。最终成功地通过了验证。 基于FPGA的实现可以有效地降低成本,同时可以在其中集成其他功能模块,提高整个系统的集成度,减小PCB版图面积和布线复杂度,有利于提高系统可靠性。因此,本研究课题对嵌入式系统设计有很大的实用价值。

    标签: TCPIP FPGA 嵌入式

    上传时间: 2013-04-24

    上传用户:xlcky

  • MDIO接口逻辑设计及其FPGA验证.rar

    随着集成电路技术的飞速发展,芯片的规模越来越大,集成度越来越高,工作频率越来越快,但是芯片的设计能力却面临巨大的挑战。而IP核的重用则是解决当今芯片设计所面临问题的最有效的解决方法。 MDIO接口模块为以太网接口芯片中maC层对PHY器件的控制管理接口。随着以太网技术的快速发展以及maC应用越来越广泛,MDIO接口模块的应用也越来越多,因此将MDIO接口模块设计成可重用的IP核对于以各种太网接口集成芯片的设计具有很重要的作用。 本文详细描述了MDIO接口模块IP核的设计,介绍了该IP核的系统结构以及各个子模块的详细设计方法,对此IP核进行了仿真验证,最后进行了FPGA测试,功能和性能达到了要求,最终通过了IP审核流程并且已成功应用于企业的以太网接口芯片中。

    标签: MDIO FPGA 接口

    上传时间: 2013-06-20

    上传用户:lishuoshi1996