虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

maC-csma

  • Mac快捷键壁纸

    Mac快捷键壁纸,要下的朋友抓紧了

    标签: Mac 快捷键

    上传时间: 2016-05-16

    上传用户:test0089

  • 无线传感器网络基于时分复用的MAC协议

    无线传感器网络基于时分复用的MAC协议               

    标签: 无线传感器网络

    上传时间: 2022-03-16

    上传用户:fliang

  • LoRa终端TDMA算法防数据碰撞丢包组网

    无线传感网有TDMA和CSMA两种基本的MAC协议方案。欣仰邦LoRa技术实现TDMA算法组网系统,LoRa-TDMA的优点是:低成本实现小规模组网。基于TDMA的MAC协议实现信道分配的机制简单成熟,它没有CSMA竞争机制的碰撞和重传问题,而是为无线传感器网络中每个节点分配独立的时隙用于数据发送或接收TDMA信号的前导字和CZT(chirp z-transform)算法的高频率分辨率特性,设计了适于低信噪比信号的宽范围载波同步改进算法。数据传输时不需要过多的控制信息,且节点在空闲时能够及时进入睡眠状态.因而在节点无移动且网络部署情况已知的场景,采用TDMWA方式进行通信,可避免信道冲突以及冲突引起的丢包和能量损耗;TDMA信号的前导字进行数据辅助(DA)型载波同步,有效地缩小了低信噪比信号的频偏范围;再利用CZT算法进一步缩小频偏范围,最后利用非数据辅助型(NDA)自相关函数法得到精确的载波频偏。改进算法的计算复杂度略高于宽范围自相关函数法,而远低于宽范围LR算法。通过仿真比较,改进算法对低信噪比(SNR)环境(3-6dB)中的信号具有良好且稳定的估计性能。保证数据传输的实时性和可靠性;令节点在不工作期间进入睡眠状态,以保存能量.这些特点很适合无线传感网中的节能要求.

    标签: lora tdma

    上传时间: 2022-07-23

    上传用户:d1997wayne

  • USB_I2C_MAC_FPGA_Code.rar

    通信接口程序,使用VERILOG编写的FPGA所用的USB,I2C,MAC程序。

    标签: C_MAC_FPGA_Code USB_I

    上传时间: 2013-06-27

    上传用户:gaoxiaonea

  • 高速实时信号处理系统的FPGA软件设计与实现.rar

    随着现代DSP、FPGA等数字芯片的信号处理能力不断提高,基于软件无线电技术的现代通信与信息处理系统也得到了更为广泛的应用。软件无线电的基本思想是以一个通用、标准、模块化的硬件系统作为其应用平台,把尽可能多的无线及个人通信和信号处理的功能用软件来实现,从而将无线通信新系统、新产品的开发逐步转移到软件上来。另一方面,现代信号处理系统对数据的处理速度、处理精度和动态范围的要求也越来越高,需要每秒完成几千万到几百亿次运算。因此研制具备高速实时信号处理能力的通用硬件平台越来越受到业界的重视。 @@ 目前的高速实时信号处理系统一般均采用DSP+FPGA的架构,其中DSP主要负责完成系统通信和基带信号处理算法,而FPGA主要完成信号预处理等前端算法,并提供系统常用的各种外部接口逻辑。本文的主要工作就在于完成通用型高速实时信号处理系统的FPGA软件设计。 @@ 本文提出了一种基于多DSP与FPGA的通用高速实时信号处理系统的架构。综合考虑各方面因素,作者选择使用两片ADSP-TS201浮点DSP以混合耦合模型构成系统信号处理核心;以Xilinx公司最新的高性能FPGA Virtex-5系列的XC5VLX50T提供系统所需的各种接口,包括与ADSP-TS201的高速Linkport接口以及SPI、UART、SPORT等常用外设接口。此外,作者还选择了ADSP-BF533定点DSP加入系统当中以扩展系统音视频信号处理能力,体现系统的通用性。 @@ 基于FPGA的嵌入式系统设计正逐渐成为现代FPGA应用的一个热点。结合课题需要,作者以Xilinx公司的MicroBlze软核处理器为核心在Virtex-5片内设计了一个嵌入式系统,完成了对CF卡、DDR2 SDRAM存储器的读写控制,并利用片内集成的三态以太网MAC硬核模块,实现了系统与上位PC机之间的以太网通信链路。此外,为扩展系统功能,适应未来可能的软件升级,进一步提高系统的通用性,还将嵌入式实时操作系统μC/OS-II移植到MicroBlaze处理器上。 @@ 最后,作者介绍了基于Xilinx RocketIO GTP收发器的高速串行传输设计的关键技术和基本的设计方法,充分体现了目前高速实时信号处理系统的发展要求和趋势。 @@关键词:高速实时信号处理;FPGA;Virtex-5;嵌入式系统;MicroBlaze

    标签: FPGA 实时信号 处理系统

    上传时间: 2013-05-17

    上传用户:wangchong

  • 基于FPGA的数字信号处理算法研究与高效实现.rar

    现代数字信号处理对实时性提出了很高的要求,当最快的数字信号处理器(DSP)仍无法达到速度要求时,唯一的选择是增加处理器的数目,或采用客户定制的门阵列产品。随着可编程逻辑器件技术的发展,具有强大并行处理能力的现场可编程门阵列(FPGA)在成本、性能、体积等方面都显示出了优势。本文以此为背景,研究了基于FPGA的快速傅立叶变换、数字滤波、相关运算等数字信号处理算法的高效实现。 首先,针对图像声纳实时性的要求和FPGA片内资源的限制,设计了级联和并行递归两种结构的FFT处理器。文中详细讨论了利用流水线技术和并行处理技术提高FFT处理器运算速度的方法,并针对蝶形运算的特点提出了一些优化和改进措施。 其次,分析了具有相同结构的数字滤波和相关运算的特点,采用了有乘法器和无乘法器两种结构实现乘累加(MAC)运算。无乘法器结构采用分布式算法(DA),将乘法运算转化为FPGA易于实现的查表和移位累加操作,显著提高了运算效率。此外,还对相关运算的时域多MAC方法及频域FFT方法进行了研究。 最后,完成了图像声纳预处理模块。在一片EP2S60上实现了对160路信号的接收、滤波、正交变换以及发送等处理。实验表明,本论文所有算法均达到了设计要求。

    标签: FPGA 数字信号处理 算法研究

    上传时间: 2013-06-09

    上传用户:zgu489

  • 嵌入式TCPIP协议的FPGA实现.rar

    随着Internet的不断发展,人们希望日常生活中所用到的嵌入式设备都能够很方便地实现Intemet接入,这对嵌入式系统设计提出了新的挑战,要求低成本、多功能、高性能。这些是目前嵌入式系统设计的热点。 可编程逻辑器件FPGA在过去的几十年中取得了飞速发展,从最初的几千门到现在的几百万门,可靠性与集成度不断提高,而功耗和成本却在不断降低,具有很高的性价比。再加上开发周期短、对开发人员的要求相对较低的优点,因此被大量应用于嵌入式系统设计中。 本文是基于FPGA高性价比、可灵活配置的特点,也是当前流行的“微控制器+FPGA”的嵌入式系统设计方式,所以我们提出了基于FPGA的实现方案。本文通过在FPGA中硬件实现嵌入式TCP/IP协议(包括UDP、IP、ARP、TCP等网络协议)以及以太网MAC协议,并提供标准MII接口,通过外接PHY实现网络连接。最终成功地通过了验证。 基于FPGA的实现可以有效地降低成本,同时可以在其中集成其他功能模块,提高整个系统的集成度,减小PCB版图面积和布线复杂度,有利于提高系统可靠性。因此,本研究课题对嵌入式系统设计有很大的实用价值。

    标签: TCPIP FPGA 嵌入式

    上传时间: 2013-04-24

    上传用户:xlcky

  • 用FPGA实现以太网控制器.rar

    以太网是在20世纪70年代为解决网络中零散的和偶然的堵塞而开发的,而 IEEE802.3标准是在最初的以太网技术基础上于1980年开发成功的。现在,以太网一词泛指所有采用CSMA/CD协议的局域网。以太网2.0版由数字设备公司、 Intel公司和Xerox公司联合开发,它与IEEE802.3兼容。 本设计采用FPGA设计以太网控制器代替传统的ASCI设计方法,主要原因在于FPGA技术的特点,它作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原由可编程期间门电路数有限的缺点。使本设计的产品十分灵活,可以在多种用户多种开发平台,硬件环境下使用而只需要对设计进行简单的修改和编辑即可,方便了设计者和用户的使用。 本论文主要阐述了使用FPGA设计开发以太网控制器的设计开发流程,以及研究了FPGA开发方法和传统ASIC开发方法的区别和优略。主要内容为: 1.阐述FPGA技术的发展历史,现状和将来的发展趋势。 2.详细说明了FPGA设计开发以太网控制器的全过程,包括模块分析功能分析以及代码设计。 3.采用软件仿真的方法设计和验证了MODELSIM仿真平台以及仿真波形图分析。 4.对比分析了FPGA和传统的ASIC开发过程的区别以及优缺点。

    标签: FPGA 以太网控制器

    上传时间: 2013-05-25

    上传用户:changeboy

  • MDIO接口逻辑设计及其FPGA验证.rar

    随着集成电路技术的飞速发展,芯片的规模越来越大,集成度越来越高,工作频率越来越快,但是芯片的设计能力却面临巨大的挑战。而IP核的重用则是解决当今芯片设计所面临问题的最有效的解决方法。 MDIO接口模块为以太网接口芯片中MAC层对PHY器件的控制管理接口。随着以太网技术的快速发展以及MAC应用越来越广泛,MDIO接口模块的应用也越来越多,因此将MDIO接口模块设计成可重用的IP核对于以各种太网接口集成芯片的设计具有很重要的作用。 本文详细描述了MDIO接口模块IP核的设计,介绍了该IP核的系统结构以及各个子模块的详细设计方法,对此IP核进行了仿真验证,最后进行了FPGA测试,功能和性能达到了要求,最终通过了IP审核流程并且已成功应用于企业的以太网接口芯片中。

    标签: MDIO FPGA 接口

    上传时间: 2013-06-20

    上传用户:lishuoshi1996

  • RS-485 总线的死锁检测与解除

    针对RS-485 接口收发电路的特点,讨论RS-485 总线在Polling 和CSMA/CD 通信方式中死锁检测和解除死锁的方法。该方法同样适用于RS-422 接口。

    标签: 485 RS 总线 死锁

    上传时间: 2013-04-24

    上传用户:01010101