虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

l.

  • 第14章源代码控制

    当许多编程人员从事这项工作但又不使用源代码管理工具时,源代码管理几乎不可能进行。Visual SourceSafe是Visual Basic的企业版配备的一个工具,不过这个工具目的是为了保留一个内部应用版本,不向公众发布(应当说明的是,M i c r o s o f t并没有开发Visual SourceSafe,它是M i c r o s o f t公司买来的) 。虽然Visual SourceSafe有帮助文本可供参考,但该程序的一般运行情况和在生产环境中安装 Visual SourceSafe的进程都没有详细的文字说明。另外,Visual SourceSafe像大多数M i c r o s o f t应用程序那样经过了很好的修饰,它包含的许多功能特征和物理特征都不符合 Microsoft Wi n d o w s应用程序的标准。例如,Visual SourceSafe的三个组件之一(Visual SourceSafe Administrator)甚至连F i l e菜单都没有。另外,许多程序的菜单项不是放在最合适的菜单上。在程序开发环境中实现Visual SourceSafe时存在的复杂性,加上它的非标准化外观和文档资料的不充分,使得许多人无法实现和使用 Visual SourceSafe。许多人甚至没有试用 Vi s u a l  S o u r c e S a f e的勇气。我知道许多高水平技术人员无法启动Visual SourceSafe并使之运行,其中有一位是管理控制系统项目师。尽管如此,Visual SourceSafe仍然不失为一个很好的工具,如果你花点时间将它安装在你的小组工作环境中,你一定会为此而感到非常高兴。在本章中我并不是为你提供一些指导原则来帮助你创建更好的代码,我的目的是告诉你如何使用工具来大幅度减少管理大型项目和开发小组所需的资源量,这个工具能够很容易处理在没有某种集成式解决方案情况下几乎无法处理的各种问题。

    标签: 源代码 控制

    上传时间: 2013-10-24

    上传用户:lgd57115700

  • C语言编程工具

    许多L i n u x操作系统的用户是因为喜欢编程而选择这个操作系统的,而另外的一些人通过学习更多与他们工作有关的知识,通过为他们的系统编译自己下载来的源代码,也逐步成长为跨越了初级水平的程序员。对编程了解得越深,就越能体会到它对自己的重要性,就更能掌握如何对之进行升级,因为终究会有一天,用户自己下载的源代码就会要求用户使用它们。

    标签: C语言 编程工具

    上传时间: 2014-05-04

    上传用户:止絮那夏

  • ADI在线工具简化工程师的设计

      创新、效能、卓越是ADI公司的文化支柱。作为业界公认的全球领先数据转换和信号调理技术领先者,我们除了提供成千上万种产品以外,还开发了全面的设计工具,以便客户在整个设计阶段都能轻松快捷地评估电路。

    标签: ADI 在线工具 工程师

    上传时间: 2013-11-25

    上传用户:kachleen

  • 单片机12864液晶时钟显示程序

    12864液晶时钟显示程序 LCD 地址变量 ;**************变量的定义***************** RS             BIT      P2.0            ;LCD数据/命令选择端(H/L) RW             BIT      P2.1          ;LCD读/写选择端(H/L) EP             BIT      P2.2            ;LCD使能控制 PSB        EQU P2.3 RST        EQU P2.5 PRE            BIT      P1.4            ;调整键(K1) ADJ            BIT      P1.5            ;调整键(K2) COMDAT         EQU P0 LED        EQU P0.3 YEAR           DATA      18H            ;年,月,日变量 MONTH          DATA      19H DATE           DATA      1AH WEEK           DATA      1BH HOUR           DATA      1CH            ;时,分,秒,百分之一秒变量 MIN            DATA      1DH SEC            DATA      1EH SEC100         DATA      1FH STATE          DATA      23H LEAP           BIT      STATE.1            ;是否闰年标志1--闰年,0--平年 KEY_S          DATA      24H            ;当前扫描键值 KEY_V          DATA      25H            ;上次扫描键值 DIS_BUF_U0      DATA      26H            ;LCD第一排显示缓冲区 DIS_BUF_U1      DATA      27H DIS_BUF_U2      DATA      28H DIS_BUF_U3      DATA      29H DIS_BUF_U4      DATA      2AH DIS_BUF_U5      DATA      2BH DIS_BUF_U6      DATA      2CH DIS_BUF_U7      DATA      2DH DIS_BUF_U8      DATA      2EH DIS_BUF_U9      DATA      2FH DIS_BUF_U10     DATA      30H DIS_BUF_U11     DATA      31H DIS_BUF_U12     DATA      32H DIS_BUF_U13     DATA      33H DIS_BUF_U14     DATA      34H DIS_BUF_U15     DATA      35H DIS_BUF_L0      DATA      36H            ;LCD第三排显示缓冲区 DIS_BUF_L1      DATA      37H DIS_BUF_L2      DATA      38H DIS_BUF_L3      DATA      39H DIS_BUF_L4      DATA      3AH DIS_BUF_L5      DATA      3BH DIS_BUF_L6      DATA      3CH DIS_BUF_L7      DATA      3DH DIS_BUF_L8      DATA      3EH DIS_BUF_L9      DATA      3FH DIS_BUF_L10     DATA      40H DIS_BUF_L11     DATA      41H DIS_BUF_L12     DATA      42H DIS_BUF_L13     DATA      43H DIS_BUF_L14     DATA      44H DIS_BUF_L15     DATA      45H FLAG            DATA      46H ;1-年,2-月,3-日,4-时,5-分,6-秒,7-退出调整。 DIS_H           DATA      47H DIS_M           DATA      48H DIS_S           DATA      49H

    标签: 12864 单片机 液晶时钟 显示程序

    上传时间: 2013-11-09

    上传用户:xingisme

  • ADI在线工具简化工程师的设计

      创新、效能、卓越是ADI公司的文化支柱。作为业界公认的全球领先数据转换和信号调理技术领先者,我们除了提供成千上万种产品以外,还开发了全面的设计工具,以便客户在整个设计阶段都能轻松快捷地评估电路。

    标签: ADI 在线工具 工程师

    上传时间: 2013-10-18

    上传用户:cxl274287265

  • PADS出Excel BOM强势升级

      网上疯传的Excel BOM经典脚本,相信诸位PADS用户再熟悉不过了吧!     但是它还有缺点:   1.元件封装不能转换。(元件位号为R/C/L的0402/063/0805/1206封装自动转换统一的对应封装,以方便统计。)   2.元件参数转换。(电阻的转换0R时由0mR修正为0R,KR/MR修正为K/M。)   3.不能按元件的SMD属性来分类统计。   4.有些公司在制作PADS库元件时,已经为元件建立了Part ID。导出BOM时需要元件的Part ID属性。   5.不能导出元件坐标。(本人改进导出元件几何中心坐标,以便贴片生产之用。)   6.不能导出跳线。   7.不能支持WPS。   8.不能自定义导出元件的Part ID属性。   9.不能自定义位号之间连接符号。   10.导出BOM特殊字符乱码,比如常见的±/µ/Ω等。(PADS9.5在中文状态下导出BOM就不会乱码,     暂时还没有更好的解决办法,不过可以在Excel中替换解决。) 11.加载与运行脚本步骤繁冗;运行速度比较慢。(本人改进的代码速度绝对不会比之前的慢。)

    标签: Excel PADS BOM

    上传时间: 2015-01-01

    上传用户:rolypoly152

  • Xilinx UltraScale:新一代架构满足您的新一代架构需求(EN)

      中文版详情浏览:http://www.elecfans.com/emb/fpga/20130715324029.html   Xilinx UltraScale:The Next-Generation Architecture for Your Next-Generation Architecture    The Xilinx® UltraScale™ architecture delivers unprecedented levels of integration and capability with ASIC-class system- level performance for the most demanding applications.   The UltraScale architecture is the industr y's f irst application of leading-edge ASIC architectural enhancements in an All Programmable architecture that scales from 20 nm planar through 16 nm FinFET technologies and beyond, in addition to scaling from monolithic through 3D ICs. Through analytical co-optimization with the X ilinx V ivado® Design Suite, the UltraScale architecture provides massive routing capacity while intelligently resolving typical bottlenecks in ways never before possible. This design synergy achieves greater than 90% utilization with no performance degradation.   Some of the UltraScale architecture breakthroughs include:   • Strategic placement (virtually anywhere on the die) of ASIC-like system clocks, reducing clock skew by up to 50%    • Latency-producing pipelining is virtually unnecessary in systems with massively parallel bus architecture, increasing system speed and capability   • Potential timing-closure problems and interconnect bottlenecks are eliminated, even in systems requiring 90% or more resource utilization   • 3D IC integration makes it possible to build larger devices one process generation ahead of the current industr y standard    • Greatly increased system performance, including multi-gigabit serial transceivers, I/O, and memor y bandwidth is available within even smaller system power budgets   • Greatly enhanced DSP and packet handling   The Xilinx UltraScale architecture opens up whole new dimensions for designers of ultra-high-capacity solutions.

    标签: UltraScale Xilinx 架构

    上传时间: 2013-11-21

    上传用户:wxqman

  • protel99se.快捷键大全

    protel99se.快捷键大全l

    标签: protel 99 se 快捷键

    上传时间: 2013-11-11

    上传用户:aa17807091

  • CAM350软件的学习笔记

    CAM350软件的学习笔记目录1. CAM3501. 一. Gerber知识2. 二.CAM3503. 三.CAM350操作4. 附录Gerber知识l Gerber 文件的格式包括:¡ RS-274-X (常用)¡ RS-274-D (常用)¡ RS-274¡ Fire 9000¡ Mda 9000¡ Barco DPFl 标准的gerber file 格式可分为RS-274 与RS-274X 两种,其不同在于:¡ RS-274 格式的gerber file 与aperture 是分开的不同文件。¡ RS-274X 格式的aperture 是整合在gerber file 中的,因此不需要aperture文件(即,内含D 码)。PCB生成Gerber最好就是选用RS-274x格式,既标准,又兼容性高。l 数据格式:整数位+小数位 。常用:¡ 3:3(公制,整数3 位,小数3 位)¡ 2:4(英制,整数2 位,小数4 位)¡ 2:3(英制,整数2 位,小数3 位)¡ 3:3(英制,整数3 位,小数3 位)l 前导零、后导零和不导零:¡ 例:025690 前导零后变为:25690 (Leading)¡ 025690 后导零后变为:02569 (Trailing)¡ 025690 不导零后变为:025690 (None)l 单位:¡ METRIC(mm)¡ ENGLISH(inch or mil)l 单位换算:¡ 1 inch = 1000 mil = 2.54 cm = 25.4 mm¡ 1 mm = 0.03937 inch = 39.37 mill GERBER 格式的数据特点:

    标签: CAM 350 软件

    上传时间: 2013-10-17

    上传用户:yzy6007

  • 华为FPGA设计流程指南

    本部门所承担的FPGA设计任务主要是两方面的作用:系统的原型实现和ASIC的原型验证。编写本流程的目的是: l         在于规范整个设计流程,实现开发的合理性、一致性、高效性。 l         形成风格良好和完整的文档。 l         实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利移植。 l         便于新员工快速掌握本部门FPGA的设计流程。  

    标签: FPGA 华为 设计流程

    上传时间: 2013-11-09

    上传用户:cc1015285075