虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

followINg

  • lcd计数显示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the followINg library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    标签: lcd 计数显示 程序

    上传时间: 2013-10-30

    上传用户:wqxstar

  • Nios II软件构建工具入门

    Nios II软件构建工具入门 The Nios® II Software Build Tools (SBT) allows you to construct a wide variety of complex embedded software systems using a command-line interface. From this interface, you can execute Software Built Tools command utilities, and use scripts other tools) to combine the command utilities in many useful ways. This chapter introduces you to project creation with the SBT at the command line This chapter includes the followINg sections: ■ “Advantages of Command-Line Software Development” ■ “Outline of the Nios II SBT Command-Line Interface” ■ “Getting Started in the SBT Command Line” ■ “Software Build Tools Scripting Basics” on page 3–8

    标签: Nios 软件

    上传时间: 2013-11-15

    上传用户:nanxia

  • 使用Nios II软件构建工具

     使用Nios II软件构建工具 This chapter describes the Nios® II Software Build Tools (SBT), a set of utilities and scripts that creates and builds embedded C/C++ application projects, user library projects, and board support packages (BSPs). The Nios II SBT supports a repeatable, scriptable, and archivable process for creating your software product. You can invoke the Nios II SBT through either of the followINg user interfaces: ■ The Eclipse™ GUI ■ The Nios II Command Shell The purpose of this chapter is to make you familiar with the internal functionality of the Nios II SBT, independent of the user interface employed.

    标签: Nios 软件

    上传时间: 2013-10-12

    上传用户:china97wan

  • Nios II软件开发人员手册中的缓存和紧耦合存储器部分

            Nios II 软件开发人员手册中的缓存和紧耦合存储器部分 Nios® II embedded processor cores can contain instruction and data caches. This chapter discusses cache-related issues that you need to consider to guarantee that your program executes correctly on the Nios II processor. Fortunately, most software based on the Nios II hardware abstraction layer (HAL) works correctly without any special accommodations for caches. However, some software must manage the cache directly. For code that needs direct control over the cache, the Nios II architecture provides facilities to perform the followINg actions:

    标签: Nios 软件开发 存储器

    上传时间: 2013-10-25

    上传用户:虫虫虫虫虫虫

  • Virtex-5 GTP Transceiver Wizar

    The LogiCORE™ GTP Wizard automates the task of creating HDL wrappers to configure the high-speed serial GTP transceivers in Virtex™-5 LXT and SXT devices. The menu-driven interface allows one or more GTP transceivers to be configured using pre-definedtemplates for popular industry standards, or from scratch, to support a wide variety of custom protocols.The Wizard produces a wrapper, an example design, and a testbench for rapid integration and verification of the serial interface with your custom function Features• Creates customized HDL wrappers to configureVirtex-5 RocketIO™ GTP transceivers• Users can configure Virtex-5 GTP transceivers toconform to industry standard protocols usingpredefined templates, or tailor the templates forcustom protocols• Included protocol templates provide support for thefollowINg specifications: Aurora, CPRI, FibreChannel 1x, Gigabit Ethernet, HD-SDI, OBSAI,OC3, OC12, OC48, PCI Express® (PCIe®), SATA,SATA II, and XAUI• Automatically configures analog settings• Each custom wrapper includes example design, testbench; and both implementation and simulation scripts

    标签: Transceiver Virtex Wizar GTP

    上传时间: 2013-10-20

    上传用户:dave520l

  • ZBT SRAM控制器参考设计,xilinx提供VHDL代码

    ZBT SRAM控制器参考设计,xilinx提供VHDL代码 Description:   Contains the followINg files     readme.txt appnote_zbtp.vhd appnote_zbtf.vhd appnote_zbt.ucf Platform:   All Installation/Use:   Use 'unzip' on the .zip file and 'gunzip' followed by 'tar -xvf' on the .tar.gz file.

    标签: xilinx SRAM VHDL ZBT

    上传时间: 2013-10-25

    上传用户:peterli123456

  • UART 4 UART参考设计,Xilinx提供VHDL代码

    UART 4 UART参考设计,Xilinx提供VHDL代码 uart_vhdl This zip file contains the followINg folders:  \vhdl_source  -- Source VHDL files:      uart.vhd  - top level file      txmit.vhd - transmit portion of uart      rcvr.vhd -  - receive portion of uart \vhdl_testfixture  -- VHDL Testbench files. This files only include the testbench behavior, they         do not instantiate the DUT. This can easily be done in a top-level VHDL          file or a schematic. This folder contains the followINg files:      txmit_tb.vhd  -- Test bench for txmit.vhd.      rcvr_tf.vhd  -- Test bench for rcvr.vhd.

    标签: UART Xilinx VHDL 参考设计

    上传时间: 2013-11-02

    上传用户:18862121743

  • 基于光电传感器导向的AGV控制系统的设计_开题报告(宋延华)_毕业论文(设计)

    本课题选用光电传感器作为导向传感器,以设计出使用方便、价格低廉、引导精确、响应速度快的AGV工厂自动运货车为研究目的。 AGV是自动导引运输车(Automated Guided Vehicle)的英文缩写,是当今柔性制造系统(FMS)和自动化仓储系统中物流运输的有效手段。自动导引运输车系统的核心设备是自动导引运输车,作为一种无人驾驶工业搬运车辆,一般用蓄电池作为动力,载重量从几公斤到上百吨,工作场地可以是办公室、车间,也可以是港口、码头。 现代的AGV都是由计算机控制的,车上装有微处理器。多数的AGVS配有系统集中控制与管理计算机,用于对AGV的作业过程进行优化,发出搬运指令,跟踪传送中的构件。装备有电磁或光学等自动导引装置,能够沿规定的导引路径行驶,具有安全保护以及各种移载功能的运输车,工业应用中不需驾驶员的搬运车,以可充电之蓄电池为其动力来源。一般可透过电脑来控制其行进路线以及行为,或利用电磁轨道(electromagnetic path-followINg system)来设立其行进路线,电磁轨道黏贴於地板上,自动导引运输车则依循电磁轨道所带来的讯息进行移动与动作。 AGV以轮式移动为特征,较之步行、爬行或其它非轮式的移动机器人具有行动快捷、工作效率高、结构简单、可控性强、安全性好等优势。与物料输送中常用的其他设备相比,AGV的活动区域无需铺设轨道、支座架等固定装置,不受场地、道路和空间的限制。因此,在自动化物流系统中,最能充分地体现其自动性和柔性,实现高效、经济、灵活的无人化生产。 AGV的常用引导方式有电磁感应式引导,激光引导,电磁陀螺式引导等,通过对这种引导方式的比较,我们选用光电传感器作为导向传感器,因为光电检测方法具有精度高、反应快、非接触等优点,而且可测参数多,传感器的结构简单,形式灵活多样。选用红外传感器作为蔽障传感器,因为红外线对外界环境光线的适应能力比较强。用直流测速发电机作为速度传感器。设计出使用方便、价格低廉、引导精确、响应速度快的AGV。

    标签: AGV 光电传感器 控制系统 报告

    上传时间: 2015-01-02

    上传用户:LANCE

  • MemCheck Driver Memory Tool The MemCheck code is designed to provide Windows NT/2K/XP driver develop

    MemCheck Driver Memory Tool The MemCheck code is designed to provide Windows NT/2K/XP driver developers with a tool to help in the detection of the followINg memory handling issues: Buffer overrun Buffer corruption Buffer use after buffer release Double buffer releases

    标签: MemCheck designed Windows develop

    上传时间: 2014-12-05

    上传用户:weiwolkt

  • cp210x非标波特率设置工具 CP210x Baud Rate Configuration Utility v1.0 Release Notes Copyright (C) 2004 Silicon

    cp210x非标波特率设置工具 CP210x Baud Rate Configuration Utility v1.0 Release Notes Copyright (C) 2004 Silicon Laboratories, Inc. This release contains the followINg components: * CP210xBaudRateAliasConfig.exe * CP210xManufacturing.DLL * CP210xBaudRateAliasConfig VC++ project * REL_NOTES.TXT (this file)

    标签: 210x Configuration 210 Copyright

    上传时间: 2014-01-24

    上传用户:362279997