虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

esdxxv52d-A系列

  • VIP专区-嵌入式/单片机编程源码精选合集系列(17)

    VIP专区-嵌入式/单片机编程源码精选合集系列(17)资源包含以下内容:1. 两轴云台控制程序.2. 全球定位系统数据提取.3. 车载GPS智能终端的设计与实现.4. Dsc8610:-------------OV8610+OV681+OV651数码相机源程序(2500.A.D公司的X51.EXE).5. header define of EZ-LIB.6. the sourse of EZUSB (for c ).7. LCD显示源程序.8. HT6221,PT2221红外编码遥控器处理模块VER1.0 作 者: David Wang Email: wy94081@sina.com.cn.9. 提取单个汉字字模程序.10. C8051F02x Firmware Updating Code.11. PDIUSBD12 应用层演示软件(DELPHI).12. motorala JL8程序代码.13. 基于snd1c芯片的mp3播放器源代码.14. 基于snd1c芯片的mp3播放器源代码(硬盘版本的).15. 基于snd1c芯片的mp3播放器源代码(原理图).16. 16进制字符串和16进制本身相互转换.17. 韦根协议实现和用io模拟串口实现.18. mm36sb020存储器读写.19. DS1302时间和RAM的读写.20. Windows CE Nand Flsh 驱动.21. 一个msp430的os.22. 此程序为EVC编写的MIS系统.23. usb鼠标设计完全实例.24. 用并口模拟I2C总线的源码.25. pdiusbd12中文资料.26. 用C33 STAR 开发板构成GPS定位系统.27. lpc932芯片 用于modbus通讯的范例.28. 液晶t6963驱动芯片程序范例.29. RS232_RS485通讯接口软硬件资料.30. PLC程序,汇编写的工业控制用的.31. TCP/IP源码.32. 日本工业界的嵌入式系统标准。60%以上的日本嵌入式产品依此标准而制造。.33. AD7705的读写驱动.34. 接口芯片8155的驱动程序.35. LED显示驱动芯片的驱动程序.36. 5位LED显示驱动芯片14498的显示驱动.37. MODBUS驱动.38. 39sf040驱动.39. tms320vc33烧写flash程序.40. 串口调试助手,调RS232,RS485必备武器.

    标签: 传动 标准 应用手册

    上传时间: 2013-07-09

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(21)

    VIP专区-嵌入式/单片机编程源码精选合集系列(21)资源包含以下内容:1. 飞利普d12芯片的测试源码.2. 三星fs44b0 bootloader源程序和镜象文件.3. I2C 库文件协议.4. 液晶兼容0701.5. 24c02 的读写程序.6. mcu isp cheng xu.7. ISP 1581USB2.0 高速接口头文件.8. LCD的显示C编程.9. S3C2410 jtag编程和可用的.10. TI公司TMS320VC5509的外设驱动程序.11. 2407嵌入式系统程序(c语言).12. GPS 数据接收分析.13. E-1330点阵液晶屏驱动程序.14. 使用软件的方法实现A/D功能.15. 24c01-24c16读写驱动程序.16. P89CXX编程器控制CPU接收和控制程序.17. //软件红外线接收程序 //该软件是很久以前做的.18. 通用93c06-93c86系列.19. 8139 rtl 源代码.20. VxWork的开发培训教程.21. 这是VXWORK培训教程的第2部(共15部)01Getting_Started.22. VXWORK的培训教程.23. VXWORK的培训教程03WindSh_and_Browser.24. VXWORK的培训教程04CrossWind.25. VXWORK培训教程05Real-Time_Multitasking.26. VXWORK培训教程06WindView2.0.27. VXWORK的培训教程07Semaphores.28. VXWORK培训教程08Intertask_Communication.29. VXWORK培训教程.30. VxWork的开发培训教程.31. VxWork的开发培训教程.32. VxWork的开发培训教程.33. VxWork的开发培训教程.34. atmel flash 烧写源代码.35. 电子琴程序,硬件 p2.6经过两个三极管9013放大 接上一块小喇叭.36. 测温程序源代码可以供恒温控制系统借用的好程序.37. 中文显示广告牌程序.38. avrusb源码.39. avr的fat文件系统测试程序.40. 一个很好用的报警代码.

    标签: 2008 iso 机械设计手册 软件

    上传时间: 2013-05-30

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(24)

    VIP专区-嵌入式/单片机编程源码精选合集系列(24)资源包含以下内容:1. MSP430 TCP/IP.2. 嵌入式系统设计简介.3. linux下通过jtag烧写flash的源代码.4. 数码管及键盘管理.5. 硬盘MP3的源程序.6. 这个程序是汇编的.7. bootloader_test.8. 开发SD卡的必备资料,希望大家喜欢.9. 硬件汉字库设计原理与应用.10. ucdos7.33中有最新的汉子库!.11. Nandflashtest.12. 飞利浦10碟VCD控制程序.13. S3C44B0启动代码.14. 金星车载TV PAL制频头UV7305BDI控制源代码.15. mep100编程器windows共享版,无限制.16. 有关芯片8253/8255/8259的程序.17. 用verilog编写的多功能数字钟.18. GAPI for Pocket PC, supports a lot of brands..19. Insight of SONY VAIO notebook(VGN-U50)..20. 只要对程序稍做修改.21. DS18B20的C程序(针对MSP430.22. 数字信号处理中的互相关计算程序,应用很广泛.23. 典型的PID处理程序.24. DS18B20的汇编例程.25. 实现指纹模块采集功能.26. 嵌入式系统中USB总线驱动的开发及应用.27. 蓝牙硬盘mp3硬件设计方案.28. 键盘信号接收的例子.29. 用于数字信号处理快速傅立叶变换的程序.30. 硕士学位论文全文。CAJ格式.31. 现场总线技术在嵌入式操作系统中的应用 学位论文全文.32. 嵌入式协议栈LWIP.33. 自己实现的一个好用的嵌入式GUI.34. 嵌入式GUI论文集.35. 嵌入式操作系统的应用.36. vga to s端子接口.37. 12位串行A/D转换芯片TLC2543的驱动程序.38. source code for visa card.39. ppp source code for ucip.40. sst39vf016驱动程序.

    标签: 金属材料 标准手册

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(29)

    VIP专区-嵌入式/单片机编程源码精选合集系列(29)资源包含以下内容:1. 451示例多种格式.2. 嵌入式web服务器.3. pencil嵌入式系统开发包.4. x5045用法.5. VCHOME资料库_嵌入式编程.6. 时钟芯片PCF8591 C语言程序.7. 存储器CAT240X C语言程序.8. 一些嵌入式操作系统的官方说明书.9. mega8 四路PWM输出.10. 相信有很多朋友遇到这样的问题,本来能在线下载lpc932,可下载自己的程序后,发现再也不能下载了,这是因为系统无法进入在线编程了,只能用CP932重新烧写,那时很麻烦的,把本段程序放在你程序里就可以解.11. 陈明计的small rtos1.5 for ARM 版.12. 讲述DM642芯片的结构和用于视频处理的原理.13. 讲述TI公司的C6000系列DSP的原理和应用.14. 作者搜集了很长时间.15. MaxPlus ii 的初次使用.16. 74hc595n原理图.17. Fairchild_DM74LS245原理图.18. 一个嵌入式电子钟的程序.19. LED的检测程序.20. 这是一个C8051FXXX的脉冲发送程序..21. C8051F020芯片的以太网实现编程,包,包括工程文件.22. C8051FXXX的软串行端口编程技术.23. C8051FXXX读S-3530A实时数据寄存器子程序.24. AD7710的驱动程序.25. TLC5615的驱动程序.26. 基于tms320c6711的dspbios的源程序.27. a/d公司8位微控制器aduc812(10路10位adc)应用笔记.28. 此汇编文件为TMS32054X系列处理器.29. 嵌入式系统中的一个显示器的驱动程序.30. 液晶显示程序.31. 关于s3c4510数据手册的资料以及lumit bootloader有关知识文章.32. 许多关于嵌入式系统设计方面的有一定技术含量的文章.33. 关于s3c44b0的flash编程的样例涉及到flash中数据读取、检验等.34. AT91开发板数据手册.35. 本程序是串口芯片TLC16c752的典型操作代码.36. 使用面向对象方法完成“快速拼写检查程序”的分析、设计和实现过程。快速拼写检查程序基本要求说明如下: 1.进行拼写检查的文件以文本文件形式存储于外存上;2.只检查文件中英文单词的拼写错误;3.单词是用字.37. 3C90X驱动源码.38. 嵌入式TCPIP协议研究与实现。介绍了嵌入式环境下TCPIP协议的研究与实现.39. 网络,基于嵌入式的网络协议,UDP/IP的协议.处理器无关部分源代码.40. 十字路口交通信号灯控制系统的设计基本要求:(利用8088、8254、8255及其它器件实现交通信号灯控制)(1)南北与东西方向.

    标签: 模具设计

    上传时间: 2013-06-08

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(31)

    VIP专区-嵌入式/单片机编程源码精选合集系列(31)资源包含以下内容:1. 有关protel PCB设计的有关注意事项和经验.2. boot flash的空闲部分作为文件系统(vxworks tffs).3. 3com 3c905网卡驱动硬件部分源码.4. 该源程序已在实验板上调试通过.5. 在嵌入式操作系统uclinux下应用的数据库sqlite.6. 这是一个节目选择菜单源码,根据接受红外遥控键盘来选择节目,并发送给PLAYER..7. 文章描述了TMS320C6000 digital signal processors (DSPs)的CPU结构、管道技术、指令系统..8. 本人搜集的许多C51及KeilC使用方面的文章.9. CfCard开发的人好像不是很多.10. 四路MPEG1视频采集卡.11. 新一代的数字温度芯片 精度高 速度快 适合温度的采集系统的开发.12. 是基于MSP430F449的温度报警的c程序.13. 基于MSPF449的三相电压表功率的开发程序.14. 是MSP430X44X的各部分的子程序模块.15. PC燒錄BIOS的源程序.16. 使用GPIB卡控制数控电源(Agilent66X)测试电流的一个小程序.17. 嵌入式系统中的软件设计技术──C语言程序设计.18. 数字万用表电路图集收集了各类数字万用表的的电路图,结构说明!.19. tcp/ip的嵌入式开发 基于pic微控制器.20. modem 原程序。用于无线模块的开发应用GPRS应用.21. 单片微型计算机原理及接口技术 电子书 pdf 格式.22. 液晶内核C-CodeT6963C控制器的源代码.23. 这是韩国原版X-Hyper250B开发板的原代码。在LINUX下编译.24. 开发板hybus255的bootloader,原版的.25. YAFFS A NAND-flash filesystem的介绍文件.26. 本文介绍MGLS-l2864液晶显示模块的功能、原理及开发步骤。MGLS-12864液晶显示模块内置有HD61202液晶显示控制驱动器.27. 嵌入式系统 Boot Loader 技术内幕.28. 该程序为LINUX下的串口通讯程序,采用华恒公司的嵌入式开发套件,包含了makefile文件.29. 该程序为UClinux下面的USB接口通讯程序,采用C语言编写,包含了makefile文件,使用的开发环境为华恒公司的嵌入式开发套件.30. 非常流行的T9693芯片驱动的240128象素LCD驱动程序.31. 一个基于ucos-ii和lwip的简单telent服务器.32. 著名的《tcplean》的配套光盘.33. CYPREES的EZ-USB2131Q芯片开发板的使用说明.34. fat16.35. CPU卡在税控行业应用驱动,符合7816要求.36. MP3系统中USB接口设计.37. fat文件系统的工作原理相关内容,是学习fat文件系统的必备资料.38. ic设计的相关文章,来自台湾交大,ic设计的精品文章.39. 在TMS320VC5402上实现的嵌入式TCPIP协议栈.40. 最简单的20键PS/2键值读取程序.

    标签: 模具设计

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(36)

    VIP专区-嵌入式/单片机编程源码精选合集系列(36)资源包含以下内容:1. C51 I2C 驱动程序 含头文件.2. arm芯 ucos 下的开发框架.3. c8051f***的程序源代码.4. 新华龙单片机的flash用法.5. 用C8051F300设计锂离子电池充电器的解决方案.6. c8051f系列单片机 用过采样和求均值提高ADC分辨率.7. C6000指令集 是对C6000指令集的文档.8. VxWorks 编程手册 VxWorks 编程手册.9. proteus 6.7及其破解(绝对好用).10. iic总线资料.11. 手机游戏礼包包 原理图采用OrCad软件或PowerPCB软件打开 好玩的很  快来试试吧.12. 红外测温计 红外线测温   自动化设计 原理图采用OrCad软件或PowerPCB软件打开 很完美.13. 9智能机器人礼包 智能机器人  单片机实现   功能齐全  运动流畅.14. 哈哈!终于找到了最新版本的UCGUI! 增加了不少的功能.15. Nios II是一个用户可配置的通用RISC嵌入式处理器,这个文档详细介绍这个处理器的用法.16. 这是一个c语言写的a/d转换程序。利用i2c总线实现对24c02的存和取.17. 学DSP时.18. 汉字液晶子程 液晶屏分为4行*12列汉字.19. 这是一个c语言描述的8位led显示的源代码.20. We demonstrate a method for encoding and decoding the [24,12,8] extended binary Golay code using a s.21. Training embedded apps to process speech may be as easy as finding the right 8-bit micro. Don t let.22. The CC1000 RF transceiver is very easy to interface with a microcontroller. The chip is configured.23. One of the most important issues affecting the implementation of microcontroller software deals wi.24. AVR单片机开发中.25. 作基于日立公司superh系列微处理器嵌入式操作系统bootloader源代码.26. touchsceen_test ├─ main.c C语言主源文件 ├─ AscII6x8.c Ascii字符6x8点阵显示格式数据 ├─ lcd.c LCD显示函数源文件 ├─.27. iic_test ├─ main.c C语言主源文件 ├─ iic.c IIC总线操作和24C040擦写函数源文件 └─ iic.h IIC总线操作和24C040写定义头文件.28. Nexperia系统声音实现的源码.29. 89C516RD+的两个AD转换程序,89C51是22.1184MHZ,有64KB Flash 256B(DataRAM)+1024B(Ext.RAM)的性价比很高的MCU.30. 网上收集的VC多线程开发、嵌入式开发文档.31. ucos-2在lpc2100上的移制例子。.32. mcf5307实验源代码.33. CPLD对DUSH的读写控制,LINUX下运行.解压即可..34. HART协议由Rosemount公司开发且已向每个使用者开放HART协议采用标准的Bell 202频移键控信号以1200波特通信以低电平加载于4mA~20mA模拟信号上.35. 中文MODBUS协议(完整版)涵盖协议基本内容。不用我多说了吧!自己看好了。.36. μC_OS-II在Nios上的移植(共同学习ucosII).37. SST28F040读写源程序,C语言编写,方便移植.38. 基于ARM 的PDA拼音输入法源程序,c语言编写,方便移植.39. Cypress公司的USB芯片开发资料.40. at91 sam 系列arm7单片机程序下工具.

    标签: 自动变速器

    上传时间: 2013-06-18

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(37)

    VIP专区-嵌入式/单片机编程源码精选合集系列(37)资源包含以下内容:1. at91sam64编程实例.2. 一个用asm写的操作系统原型 很适合大家学习学习.3. 本文详细介绍了学习FREEBSD的一些命令.4. 一个12路串口+3路Socket口的数据收发程序 嵌入式ucLinux操作系统下调试通过.5. c语言中写二进制数。引用例子: #define Bin(n) LongToBin(0x##n##l) void main(void) { unsigned char c c =.6. 此程序是利用2051单片机P1.0,P1.1精密比较器功能实现a/d转换通过P1.0电位器调节.7. 《KEIL C51 Vision2 中文入门教程》翻译.8. 基于单片机、nrf24e1发射接受程序.9. 一个用于控制LCD panel显示的驱动程序。控制LCD读写和显示控制。.10. libminigui-1.3.3.tar.gz。 对想学习miniGUI的朋友这是非常好的自学资料!.11. libminigui-1.3.0.tar.gz。 miniGUI的库函数源代码!.12. 8255 输入、输出实验的汇编程序清单         方式0.13. 深圳金鹏液晶自带汉字显示模块4*15D的使用例程.14. Keyboard Source code for 68HC705J1A,供胡次使用68HC705J1A.15. 用于小商品消费的SMS消费机程序.16. 是上一个SMS消费机的充值机程序.17. 以上几个手持机的初始化程序.18. 68K328手持开发说明文档.19. 这是一个ARM的实验。主要是使用PWM6输出一个固定占空比的单边沿控制PWM信号.20. 这是一个语音电压表.21. 具有原始语音播放功能.22. 是一个按键控制的录音笔.23. 是一个飞翔的小鸟.24. 用汇编和C编写的.25. 卷积码的C源程序.26. 1.在发送端通过一个编码系统对待传数据预先编码.27. lcd液晶显示器的单片机地层驱动程序以及字符点阵生成器,刚刚做完的项目,希望对大家有用.28. ActiveTcl is ActiveState s quality-assured distribution of Tcl, available for AIX, HP-UX, Linux, Mac.29. 是TCL的另外一个编译(解释)器.30. AT88SC102及AT88SC1604 IC卡的读写(C51编写)测试表明程序工作可靠.31. i2c软件包, 很有用, 也非常简单.32. 一个德国人用汇编写的基于15个采样点的dcc编码器.33. 一个德国人写的基于15各采样点的dcc解码器.34. 本程序是针对T6963C控制器的LCD接口的驱动程序。.35. key(键盘子程序).36. s_serial(c51用io口模拟串口).37. PS键盘与单片机连接.38. 在51移植的ucos2源代码 UCOS2_KEIL.39. 单片机自发自收CAN通讯 c51_can_self.40. 日立单片机实现IIC的的程序,由C语言写出,已经调试通过,可以放心使用.

    标签: 工程图

    上传时间: 2013-06-02

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(38)

    VIP专区-嵌入式/单片机编程源码精选合集系列(38)资源包含以下内容:1. 看看名字就应该知道是干什么用的了吧! 这可是个好东东!我搜索了很久才找到的! 独乐乐.2. 51单片机c语言中断的实现 还有很多例子可以参考。包括常用的中断模块 c语言单片机开发很有用.3. 这是利用C51语言编写的读写AT24C512的程序.4. 这是利用CYPRESS CY7C63723汇编语言编写的读写AT24C512的程序.5. 这个是我参考过的多级菜单.6. 可以用H.264编码解码器源码(c语言).7. Application of Bootstrap Loader in MSP430 With Flash Hardware and Software Proposal.8. Features of the MSP430 Bootstrap Loader.9. Implementing a Real-Time Clock on the MSP430.10. Experiments for the MSP430 Starter Kit.11. Solid State Voice Recorder Using Flash MSP430.12. Application of Bootstrap Loader in MSP430 With Flash Hardware and Software Proposal.13. Interfacing the DAC8574 to the MSP430F449.14. Interfacing the MSP430 and TMP100 Temperature Sensor.15. Ultrasonic Distance Measurement With the MSP430.16. Implementing a Direct Thermocouple Interface With the MSP430x4xx and ADS1240.17. 24点汉字的C++实现代码.18. TC与BC++用户界面程序设计 《Turbo CBorland C++用户界面程序设计》(西安交通大学出版社 周升锋 李立新 等著)的随书软盘.19. 用VB通过并口控制I2C总线.20. pcb封装详解.21. uClinux 下MicroWindows开发的电机控制平台软件和模拟示波器的双路数据采集系统源码.22. 51单片机PID计算程序.23. 详细的介绍,以及其中库函数的描述说明,用法等.24. 日本人设计的电子热水瓶的全部嵌入式源码,很好的实例.25. 一个极好的192*64LCD的C源代码!.26. 51单片机.27. 自启动vxworks---一个自启动vx程序的开发文档 vx编程指南----vx的一些基本编程介绍和操作手册 tornado ---- tornado使用手册 linux内核分析 ----- l.28. EasyARM 程序 RTC_TEST.29. EasyARM 程序 uart_TEST.30. EasyARM 程序 SPI_TEST.31. EasyARM 程序 I2C_TEST.32. 一个resist的程序.33. 在nios环境下的LCD的包括测试.34. nios 环境下的软件编程.35. nios 的开发程序.36. 单片机与pc机.37. uIP0.9版本.38. lwip1.0.0协议栈tcpip.39. lwip在ucos上的移植.40. 网友张巍提供的tcpip协议栈,是一个成功稳定的以太网接口上的TCP/IP协议程序。里面包括有说明.

    标签: 光盘 机械行业 标准

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(40)

    VIP专区-嵌入式/单片机编程源码精选合集系列(40)资源包含以下内容:1. epson公司的一个关于s1d13706的低层驱动程序.2. ads1.2的一个工程.3. 一些FPGA的扩展电路原理图.4. 一些DSP的c语言源程序.5. 凌阳单片机声控小车源码,对于想开发声控小车的人来说,是相当有用的.6. ATMEL的下载线制作和下载软件,有需要的可以来看.7. 一个比较老的C语言编译器,WAVE的老板本仿真器只能用他.8. LM8361、TMS3450、TMS1943数字钟电路.9. 该程序代码实现对Flash Rom AM29LV160烧写数据的功能.10. 嵌入PIC16F77的激光传感器程序RS485输出.11. PIC16F77单片机I2C读写程序.12. 这是家用摄像头的源程序.13. lwIP is a small independent implementation of the TCP/IP protocol suite that has been developed by.14. 详细的MiniGUI源代码.15. 日本的嵌入式实时操作系统UITRON3.0 该系统以得到几十亿片的应用业绩.16. IEC61131-3标准说明.17. 一线通讯协议的c51例子程序源代码.18. C字符串底层函数的实现。对一些想了解C的低层函数的人很有帮助.19. 使用vhdl语言编写的交通灯控制程序.20. 使用C语言编写的单片机控制LCD显示程序.21. 此程序是一底层开发驱动代码,里面包括了I2C芯片(MAX5417)及1 wire sensor、AD驱动的源代码!稍作改动就能用于自己的产品开发中!.22. 这是利用Mifare SDK开发的读写基于ISO14443标准RF卡的程序。.23. 这是用于Mifare卡开发的programmer reference和DLL文件.24. C51单片机用C语言编写的SPI例程.25. CPLD7256的例子程序.26. SUMSUNG S3C44B0X ARM7的一个RTC实时时钟的显示和修改的例程.27. 哈工大智能电动车软件的源代码.28. 这是有关单总线数字温度芯片DS18B20的keilC和ASM汇编的混合编程.29. 这是一个在51单片机上用汇编实现的除法程序。.30. Chapter 5 "Construction case with USB host system that handles USB controller SL811" sample progra.31. "TCP/IP + Ethernet network equipment design method" sample program.32. JAPAN "RTOS technology that learns with TOPPERS".33. JAPAN RTOS TOPPERS/JSP kernel 1.4 PreRelease simulation environment JSP kernel Windows simulation.34. 键盘扫描程序.35. pcb EMI 资料很好 是英文资料.36. PCB EMI 的防护的资料是英文的.37. pcb EMI 的防护的资料.38. pcb EMI 的防护的资料.39. pcb EMI 的防护的资料.40. pcb EMI 的防护的资料.

    标签: 液压系统 模拟机

    上传时间: 2013-06-12

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(42)

    VIP专区-嵌入式/单片机编程源码精选合集系列(42)资源包含以下内容:1. msp430MODEM数据传输的通信系统.2. 凌阳单片机的数码管显示程序.3. 在fpga上关于nios开发版的测试文件.4. 关于fpga的nios开发版的led的硬件测试.5. 嵌入式开发板451的butlod.6. Your-MTD 供大家参考使用.7. 详细说明了datesheet的使用.8. 令AVR ATMEGA16进入睡眠状态及唤醒的例程(转贴).9. 51单片机很精彩的实例.10. 设计出优秀fpga程序的十条戒律.11. c和c++嵌入式编程入门的一本好书,值得一看.12. ST7920液晶显示控制器的驱动编程.13. 很全的文件系统,完整支持FAT12,FAT 16,FAt32,s市面还有书籍支持.14. 完整的飞LPC213X的modem源码,支持UCOS系统很好的参考代码.15. ZNE-100T增强型嵌入式以太网转串口模块,LPC213XDEMO板源码.16. RC5000读卡芯片和LPC213X的源码参考程序 内含详细说明.17. CF卡开发详细资料.18. 别人的开发自己的plc.19. 遥控程序,51单片机的汇编语言控制程序,实现远距离控制.20. 用51单片机实现LED的显示,由于此程序经常甬道,具有一定的 参考价值.21. 电机PWM控制,用单片机实现对电机的控制,由于用PWM很省电,故建议采用此法.22. < 嵌入式系统编程>>源代码解析光盘,包括这本书各章节的示例代码(无密码).23. 用DSP实现最小二乘法。可对测量得到的数据进行处理.24. MAXII-PCI接口CORE,MAXII-PCI接口CORE[分享].25. TLV2544-2548多通道12位串行A-D转换器的原理与应用.26. arm9的说明书 强烈推荐 好不好看过才知道.27. 用2051加热敏电阻做的温度计!有很多不足的地方!请大家修改!.28. flash烧写程序.29. 44b0平台.30. 中文字库液晶演示程序 谁需要拿去.31. zigbee协议.32. zigbee协议栈的源代码.33. 字体缩放显示.34. 手机模拟器.35. 这是用C写的读取温度传感器DS18B20.36. 嵌入式minigui开发是一个关于listview的实现可用于图形界面中。.37. ce下的客户端程序 可以传送文件的.38. u盘读写模块.C51单片机与USB接口芯片对U盘进行文件读写,支持FAT8,FAT16和FAT32磁盘格式..39. uC_OSII移植源码lumit_Ucosii_110.src.40. sygnal 08051f020 液晶显示的c语言程序.

    标签: 1069 2007 JJF 计量检定

    上传时间: 2013-07-22

    上传用户:eeworm