虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

dc/DC变换器

  • 基于UC3863控制的LLC谐振变换器的设计及仿真

    设计了一种以UC3863芯片为核心控制芯片的开关电源,其电路采用半桥结构的LLC谐振电路,带有PFC电路,且整个电路设计有自限流功能。分析了LLC谐振变换器整个电路的工作原理及自限流功能的实现。结合交流220 V输入1KW输出电路,分别对PFC电路和主电路进行仿真,仿真结果验证了该设计的可行性。

    标签: 3863 LLC UC 控制

    上传时间: 2013-10-13

    上传用户:sdfsdfs1

  • DC-DC方面的资料

    DC-DC 模块的电源纹波指标是一项很重要的参数。干净的电源是数字电路稳定工作的前提,也是模拟器件的各项参数的重要保障。

    标签: DC-DC 方面

    上传时间: 2013-10-31

    上传用户:stvnash

  • DN385 10A高性能点的负载DC/DC微型模块

      Advancements in board assembly, PCB layout anddigital IC integration have produced a new generationof densely populated, high performance systems. Theboard-mounted point-of-load (POL) DC/DC power suppliesin these systems are subject to the same demandingsize, high power and performance requirements asother subsystems. The rigorous new POL demands aredifficult to meet with traditional controller or regulatorICs, or power modules.

    标签: DC 385 10A DN

    上传时间: 2014-12-24

    上传用户:lbbyxmraon

  • 多相DC/DC控制器精度和带宽限制

      Speed and accuracy don’t always go hand-in-handin DC/DC converter systems—that is, until now. TheLTC3811 is a dual output, fi xed frequency current modeDC/DC switching regulator controller designed for one oftoday’s most demanding power supply applications: highcurrent, low voltage processor core supplies.

    标签: DC 多相 控制器 带宽

    上传时间: 2013-11-21

    上传用户:aix008

  • LTM4601 DC/DC微型模块性能

      The LTM4601 DC/DC μModule regulator is a completehigh power density stepdown regulator for up to 12Acontinuous (14A peak) loads. The device is housed ina small 15mm ¥ 15mm ¥ 2.8mm LGA surface mountpackage, thus the large power dissipation is a challengein some applications. This thermal application note willprovide guidelines for using the μModule regulator inambient environments with or without air fl ow. Loadcurrent derating curves are provided for several inputvoltages and output voltages versus ambient temperatureand air fl ow.

    标签: 4601 DC LTM 微型模块

    上传时间: 2013-10-19

    上传用户:bakdesec

  • Wide-input dc/dc modules offer

    When a system designer specifies a nonisolated dc/dc powermodule, considering the needed input voltage range isequally as important as considering the required performanceattributes and features. Generally, nonisolated moduleshave either a narrow or a wide input voltage range. Narrowinputmodules typically have a nominal input voltage of3.3, 5, or 12 V. For systems that operate from a tightlyregulated input bus—such as those that do not use batterybackup—a narrow-input module is often adequate sincethe input remains fairly stable.Offering greater flexibility, wide-input modules operatewithin a range of 7 to 36 V, which includes the popular12- or 24-V industrial bus. This enables a single module tobe used for generating multiple voltages. These modulesare ideal for industrial controls, HVAC systems, vehicles,medical instrumentation, and other applications that usea loosely regulated distribution bus. In addition, systemspowered by a rectifier/battery charger with lead-acidbattery backup almost always require wide-input modules.System designers who choose power supplies may wantto take a close look at the latest generation of wide-inputdc/dc modules.

    标签: Wide-input modules offer dc

    上传时间: 2014-12-24

    上传用户:dragonhaixm

  • 多路输出反激变换器的假断续行为分析

    在对具有多路输出的反激变换器进行理论分析的基础上,进行了模型仿真及试验。其结果揭示了由于各路输出时间常数的不同,而导致变换器在连续工作模式下出现假断续状态,此分析结果为反激变换器的输出参数设计提供了很好的依据。

    标签: 多路输出 反激变换器 假断续

    上传时间: 2013-11-17

    上传用户:gyq

  • 常见正反激开关电源拓扑结构

    常见DC/DC电源变换器的拓扑类型见表1~表3所列。表中给出不同的电路结构,同时也给出相应的电压及电流波形(设相关的电感电流为连续工作方式)。PWM表示脉宽调制波形,U1为直流输入电压,UDS为功率丌关管S1(MOSFFT)的漏一源极电压。ID1为S1的漏极电流。IF1为D1的工作电流,U0为输出电压,IL为负载电流。T为周期,t为UO呈高电平(或低电平)的时问及开关导通时间,D为占空比,有关系式:D=t/T。C1、C2均为输入端滤波电容,CO为输出端滤波电容,L1、L2为电感。 1、常见单管DC/DC电源变换器

    标签: 反激开关电源 拓扑结构

    上传时间: 2013-10-19

    上传用户:1966640071

  • Boost变换器的能量传输模式及输出纹波电压分析

    由于Boost变换器的电感位于电路的输入端,通过控制电感电流就可方便地对输入电流实施控制,因此在开关电源中,常被用作功率因数校正(H1C)的前级[1。4】。Boost变换器在低电压、便携式的电子产品领域也应用广泛【5。6J。此外,由于其功率开关管一端与电源共地,其驱动电路设计更容易,因此众多的研究人员一直在不懈地探索Boost变换器拓扑结构的改善措施[7-10]和提高其性能的控制方法[11-12

    标签: Boost 变换器 能量传输

    上传时间: 2013-11-08

    上传用户:hustfanenze

  • 利用耦合输出电感的PWM全桥变换器

    提出了一种利用耦合输出电感的新型次级箝位零电压、零电流开关-脉宽调制(ZVZCS-PWM)全桥变换器。它采用无损耗元件及有源开关的简单辅助电路,实现了滞后桥臂的零电流开关。与传统的ZVZCS-PWM全桥变换器相比,这种新型变换器具有电路结构简单,整机效率高,以及轻载时能根据负载情况自动调整箝位电容的充放电电流。因而非常适合用于IGBT 作为主开关的高压、大功率应用场合。详细分析了该变换器的工作原理及电路设计;在一台功率为1kW的工程样机上测出了实际运行时的波形及变换器效率。实验结果证明,该变换器能在任意负载下实现滞后桥臂的零电流开关,且满载时的效率最高达到92%。关键词: 变换器;控制/软开关

    标签: PWM 耦合 全桥变换器 输出电感

    上传时间: 2014-12-24

    上传用户:wujijunshi