虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

arm7<b>ucLINux</b>

  • VIP专区-嵌入式/单片机编程源码精选合集系列(39)

    VIP专区-嵌入式/单片机编程源码精选合集系列(39)资源包含以下内容:1. 这是有关SPI总线的一段读写程序.2. 这是一段MAX7219的驱动C51源程序.3. 利用msp430的通用I/O端口模拟I2c协议的源代码.4. 超级下载软件(progisp ver1.1)包括并口下载器与usb isp下载器的详细制作资料.5. MCS51产单片机上实现的tcp/ip,很全的哦,需要的可以参考一下..6. 嵌入式系统图形用户界面编程.7. 05嵌入式大会的部分演讲稿.8. 51系列对CH375模块读写.9. test for boundary scan and CPLD ics..10. 这是我个人再学习ARM7s3c2410的 时候用到的试验代码.11. 本人水品有限.12. 是在不好意思.13. 入门试验代码.14. pxros的使用说明.15. 在NIOS中利用C语言模拟I2C总线时序.16. ALTERA NIOS处理器实验.17. ALTERA NIOS处理器实验.18. ALTERA NIOS处理器实验.19. ALTERA NIOS处理器实验.20. ALTERA NIOS处理器实验.21. 随着高性能计算的需求.22. ADS1.2是一个使用方便的集成开发环境.23. AVR单片机嵌入式操作系统原代码.24. 有关rtos的书.25. 重要的汇编语言编程......和大家一起分享.26. AT91M55800A材料-BasicTimer.27. I2c代码.28. WINDOWS系统下灰度的BMP图片转换成黑白图片..29. 在WINDOWS CE.NET 系统中读RDP连接的用户名的密码..30. 嵌入式实时操作系统μCOS-在ARM上的移植应用.31. 在s3c44b0x运行的俄罗斯方块游戏 DOS参考代码.32. uCOS-II在C51下的一个完整的LCD项目源码.33. 石子归并问题:在一个圆形操场的四周摆放着N堆石子(N<= 100),现要将石子有次序地合并成一堆.规定每次只能选取相邻的两堆合并成新的一堆,并将新的一堆的石子数,记为该次合并的得分.编一程序,由.34. 单片机及嵌入式系统web实现的文章 很好的:MCU应用系统与Internet连接的一种新技术.35. 单片机发展趋势的文章: 从Cygnal C8051F看8位单片机发展之路 好.36. usb host在ARM7上的实现.37. 对arm300的一些简单的试验做了详细地说明和讲解.38. arm技术手册.39. arm7上开发usb的文档,说得非常好.40. 一个关于s1d13806的应用程序.

    标签: 机构 机械手

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(40)

    VIP专区-嵌入式/单片机编程源码精选合集系列(40)资源包含以下内容:1. epson公司的一个关于s1d13706的低层驱动程序.2. ads1.2的一个工程.3. 一些FPGA的扩展电路原理图.4. 一些DSP的c语言源程序.5. 凌阳单片机声控小车源码,对于想开发声控小车的人来说,是相当有用的.6. ATMEL的下载线制作和下载软件,有需要的可以来看.7. 一个比较老的C语言编译器,WAVE的老板本仿真器只能用他.8. LM8361、TMS3450、TMS1943数字钟电路.9. 该程序代码实现对Flash Rom AM29LV160烧写数据的功能.10. 嵌入PIC16F77的激光传感器程序RS485输出.11. PIC16F77单片机I2C读写程序.12. 这是家用摄像头的源程序.13. lwIP is a small independent implementation of the TCP/IP protocol suite that has been developed by.14. 详细的MiniGUI源代码.15. 日本的嵌入式实时操作系统UITRON3.0 该系统以得到几十亿片的应用业绩.16. IEC61131-3标准说明.17. 一线通讯协议的c51例子程序源代码.18. C字符串底层函数的实现。对一些想了解C的低层函数的人很有帮助.19. 使用vhdl语言编写的交通灯控制程序.20. 使用C语言编写的单片机控制LCD显示程序.21. 此程序是一底层开发驱动代码,里面包括了I2C芯片(MAX5417)及1 wire sensor、AD驱动的源代码!稍作改动就能用于自己的产品开发中!.22. 这是利用Mifare SDK开发的读写基于ISO14443标准RF卡的程序。.23. 这是用于Mifare卡开发的programmer reference和DLL文件.24. C51单片机用C语言编写的SPI例程.25. CPLD7256的例子程序.26. SUMSUNG S3C44B0X ARM7的一个RTC实时时钟的显示和修改的例程.27. 哈工大智能电动车软件的源代码.28. 这是有关单总线数字温度芯片DS18B20的keilC和ASM汇编的混合编程.29. 这是一个在51单片机上用汇编实现的除法程序。.30. Chapter 5 "Construction case with USB host system that handles USB controller SL811" sample progra.31. "TCP/IP + Ethernet network equipment design method" sample program.32. JAPAN "RTOS technology that learns with TOPPERS".33. JAPAN RTOS TOPPERS/JSP kernel 1.4 PreRelease simulation environment JSP kernel Windows simulation.34. 键盘扫描程序.35. pcb EMI 资料很好 是英文资料.36. PCB EMI 的防护的资料是英文的.37. pcb EMI 的防护的资料.38. pcb EMI 的防护的资料.39. pcb EMI 的防护的资料.40. pcb EMI 的防护的资料.

    标签: 液压系统 模拟机

    上传时间: 2013-06-12

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(47)

    VIP专区-嵌入式/单片机编程源码精选合集系列(47)资源包含以下内容:1. call mimasuo.2. 44B0X读写FALSH29LV160的程序.3. 一个带有图形界面的计算器程序.4. PLD可编程器件教学实验系统用户使用指南zhege 是我们自己翻译的一个指南.5. 本程序可以实现七种颜色循环显示, 而且LED七彩任意可变换..6. 单片机上显示中文的程序.7. 三星公司S3C44B0X开发板2000下的JTAG软件.8. u盘修复工具PTMD!! u盘修复工具ptmd.9. 羚羊语音播放.10. 汽车通讯控制程序 电子屏字符显示器.11. 这是一个扫描16个键并可返回32个键号的小MCU程序,它对16上按键分单按和长按两种情况做区分..12. 利用SL811开发U盘上载程序.13. 2.4G无线接受音频程序.14. 2.4G无线发射音频程序.15. 这个程序是用51单片机实现的蜂鸣器音乐程序.16. 这是一本介绍软件模块的书,可以用这些模块设计嵌入式系统..17. 嵌入式系统的C语言程序设计,本书适合于从事嵌入式研究的相关技术人员使用..18. 忆风主机管理系统 忆风主机管理系统 忆风主机管理系统.19. 用51单片机及MT8880制作的可以识别电话号码的ASM源程序。.20. 关于Exp7LCD控制方面的软件编程! 实现LCD的显示功能!通分了解LCD的工作原理!.21. 关于嵌入式载LED控制的编程代码! 能够对嵌入式控制LED方面作更深如的了解.22. 有关嵌入式在DA转换上的应用的源代码! 能够加深对嵌入式编程方法的理解!.23. 有关嵌入式在AD转换上的编程应用!能加深对AD转换的理解!.24. 有关在嵌入式编程方面对UDP上的应用! 能加深对UDP编程技术的理解!.25. 关于嵌入式语言编程在触摸屏的完整代码! 能加深对触摸屏原理的理解!.26. 代码名称:组合逻辑电路仿真器 代码说明:组合逻辑电路仿真器 工具/平台:VC++ 作者:上官晨寰 邮件地址:sgch1982@163.com.27. 1线通讯协议的c51例子程序,程序中有详细的注释.28. 关于arm开发的文档集合 有uCLinux ,usb应用,以及开发步骤等等,非常不错,.29. 单片机实现语音控制小车电路原理图和1个设计的文档说明,相信对想做语音控制的朋友很有帮助.30. 一个红外线遥控接收装置的图纸,协议和说明,以及具体所使用的芯片和编程资料,自己先顶1下~.31. 是关于集成运算放大器应用电路集,我找了很久的东西,里面非常的全面,特地拿出来共享,我想对硬件工程师来说没有人会不喜欢这个东东吧~用超星打开.32. 这个是有关存储ic的集合,包括eeprom,flash,ram等等,相信对做硬件的朋友是很珍贵的资料.33. 8051单片机控制液晶显示模块的程序。用的是北京青云创新LCM24064ZK(自带汉字库).34. 用STC89C58单片机做的数据采集和控制.35. 好文章!大家好好看吧!多处理器支持操作系统方面的.36. 好文章!大家好好看吧!多处理器支持操作系统方面的.37. 好文章!大家好好看吧!多处理器支持操作系统方面的.38. 基于单片机系统的8路数字电压表程序设计问题.39. lpc2132演示程序,同样是"基于硬件FIFO和缓冲队列的"串口收发演示.40. 来源于外国的开源rtos,用于小型mcu,支持优先级抢占调度.

    标签: 机械设计

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(48)

    VIP专区-嵌入式/单片机编程源码精选合集系列(48)资源包含以下内容:1. 51单片机上移植UCOSII,通过调试.2. dspgetway源代码.3. 针对三星ARM处理器的flash烧写程序.4. 优龙S3C2410平台的用ADS1.2进行编译的程序.5. 红外解码程序.6. at91sam7s64的一个应用.使用ads1.2编译器,实现usb口的通讯功能..7. at91sam7s63,ads1.2编译器 本程序为为一个简单的跑马灯演示: 1.8. 本程序为完成各种中断的演示.at91sam7s64芯片,ads1.2编译器.代码说明: 1)完成基本的初始化 2)初始化PIO,IRQ,FIQ,software_interrupt等 3).9. 是介绍ISE的非常好的教程.10. ucGUI上的汉字子模提取工具.11. ucGUI的一些使用例子.12. 基于EasyArm2200和ucOS2的SD卡文件系统的实现.13. 芯片.14. 芯片.15. 包含vxWorks的许多底层API源码.16. 用汇编写的一个键盘 程序 纯属练习 没价值 用的是矩阵扫瞄法.17. 参加今年的比赛肯定要用的。谢谢支持。祝大家好运!.18. 文件包括了S3C2410基础试验源代码中的DA转换.19. 文件中包括了S3C2410基础试验源代码的触摸屏源代码.20. ucos 移植实验成功把ucos移植到2410上建立十个任务.21. s3c2410ucos 移植实验成功把ucos移植到2410上建立十个任务.22. 文档中包括S3C2410基础试验中VGA显示(ADS)中调试通过的源代码.23. PIC网卡控制器ENC28J60的PIC单片机程序源代码(c).24. 操作系统为wince,开发环境为EVC,有关窗口的应用程序.25. 在keil平台下用c语言开发的基于89c2051的小程序.26. 操作系统为wince,开发环境为EVC,有关串口的应用程序.27. 操作系统为wince,开发环境为EVC,有关网络的应用程序.28. 操作系统为wince,开发环境为EVC,有关数据库的应用程序.29. 操作系统为wince,开发环境为EVC,有关PING的应用程序.30. uC/OS移植到x86上的范例1.31. pdf文件,是用来控制液晶屏输入输出的的器件.32. ATMEL AT91SAM USB Mass Storage Module.33. 自己修改的U-boot1.1.4For AT91RM9200DK. 请用armgcc3.3.2编译。.34. ZLG的Smartarm2200上uClinux boot代码.35. AT91RM9200的USB host测试代码.36. AT91RM9200的网口测试代码.37. AT91RM9200的DS1307 RTC 测试代码.38. 利用该源代码可以实现在DE2的板子上进行USB画笔的实验.39. Pic18F458的Can通信模块代码.40. 51单片机模拟2272解码,采用中断方法.

    标签: 机械制造 工艺基础

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(52)

    VIP专区-嵌入式/单片机编程源码精选合集系列(52)资源包含以下内容:1. C8051F020的SED1335驱动程序.2. AD7714 AD转换keil C51 源代码.3. C8051F020 SMB 总线驱动源代码.4. 温度传感器18B20的keil C51驱动程序.5. C8051F020读写24C256的keil c51程序.6. 51+sl811读写U盘的源程序+原理图.7. 基于CPLD的二进制码转换为二十进制(BCD)码的电路[1].8. 从大量的wince源代码中剥离出的fat文件系统源代码。移植性非常高。 微软的代码.9. 凌阳MINI OS 实例.10. threadx操作系统源代码之.11. threadx操作系统源代码之.12. threadx操作系统源代码之.13. threadx操作系统源代码之.14. 免费的tcp ip 协议的pcb sch.15. windows mobile pocket pc移动开发源代码书籍.16. 附件程序是我用DSP2812开发的无刷直流电机控制程序.17. 步进电机控制系统.18. 多数位分频器.............................................可直接编译.19. 自己写的c语言版的软件实现cpu的pipeline功能的程序。对于学习体系结构的同仁有好处。.20. 一个多级菜单的例子.21. vhdl语言.22. 倒车雷达源代码.23. 一个很有用的USB开发的简单例子.24. 常用的窜口调试器.25. 用MAX6959实现的键盘以及数码显示原代码.26. 基于ARM的生物识别系统.27. 51的IO口模拟UARTRS232 波特率9600 Fosc:22.1184MHz 已在keilc51调试通过.28. 数控恒流源电路原理图 是03年全国大学生电子大塞提.29. 图书馆管理系统..大家多点给我鼓励给我支持哦...我会多点上载代码到这里的..30. 掏美元培训得来的某外国公司推广keil ARM 和LPC2100的源码。.31. BT878 图象显示 VxWorks 驱动程序.32. 我自己用的MSP430的JTAG。包括原理图和PCB版图!AD6软件打开。.33. 书名“全能混合电路仿真 OrCAD PSpice A-D V9”.34. S3530a和C8051f020的通信测试程序!.35. 本文介绍了在基于ARM7的嵌入式系统上开发 USB接口的详细内容。.36. ucos移植到m64.37. AVRcamVIEW/jre/bin/java -jar /opt/AVRcamVIEW/lib/AVRcamVIEW.jar.38. 最新ZigBee1.1协议规范.比ZigBee0.8有所改进..39. 关于zigbee的一篇论文,国外的,写的相当不错,推荐同行的.40. PID是比例、积分、微分三个词语的英文缩写.

    标签: 汽车维修 培训教材 电工

    上传时间: 2013-05-29

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(55)

    VIP专区-嵌入式/单片机编程源码精选合集系列(55)资源包含以下内容:1. this file is for keil LCD.2. this file is for keil motor.3. 使用keil C51编译的DDS的LED显示源码.4. 使用QUARTUS 2编译的DDS的源码.5. 使用keil C51编译的计时器的LED显示源码.6. WINCE下数据库的一些操作.7. modbus协议栈.8. 2004液晶屏与89c52组成的电子钟.9. 1.汉明编码的硬件实现vhdl语言 2.测试用.10. 一个MCS51+1620LCD的液晶C程序.11. SD卡与ARM7在SPI模式下进行数据读写原代码.12. CPLD FPGA常用protel库.13. MSP430F149的两个USATR 在实现SPI通讯.14. protues 仿真 intel8255,很好的软件.15. protues 仿真 adc0808和dac0808,很好的软件.16. protues 仿真 UART发送hello,很好的软件.17. 用KEIL C编写的绘图型 LCD 数字时钟.18. alsa codec play music and i2c.19. 用C语言编的功能很齐全的交通灯程序.20. 12位11通道AD转换芯片tlv2553,12位双通道DA转换芯片tlv5638.21. Anlog 公司的DSP,ADSP-BF531并口模拟spi引导程序,是采用BF531从引导模式。.22. 用opengl实现的bsp管理3D场景的算法.23. 针对杭州中正公司的SM-62光学指纹识别模块在Atmega128芯片板上移植后的测试程序.24. 本段源码是将上海一维的指纹识别模块移植到ATmega128芯片后的测试程序.25. 这是基于立宇泰公司的ARM板开发的彩色液晶图象显示代码.26. DS18B20的温度采样C程序,思路简洁明了,希望适合的工程.27. 数字时钟:LCD+凌阳SPCEO61A,通过中断计时,LCD显示,界面简洁宜人.28. 时间和温度同时拥有,一次满足你两个愿望,而且友好的界面,一定合你心意..29. 硬件设计不可多得的资料.30. 实现温度检测功能.31. 基于MSP430的程序代码.32. 基于MSP430的程序代码.33. 实现气象站信息采集功能.34. 320*240液晶,Ra8803芯片控制程序,keil8.09编译..35. C51控制24CO2及24C04.36. matlab下.37. 四位BCD码数字显示电路.38. 介绍vhdl硬件描述语言的特点及设计思想.39. CRC 算法实现原理.40. 用verilog写的FIR滤波器,不错可以.

    标签: 表面处理 新工艺 新技术 新标准

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(59)

    VIP专区-嵌入式/单片机编程源码精选合集系列(59)资源包含以下内容:1. 工业控制中常用的PID算法C编写的.2. 12232点阵信利液晶基本驱动程序.3. LCM-12864汉字液晶显示驱动程序.4. C51编写的1602显示字符串程序.5. MSP430.6. 基于三星44b0box万龙开发板的触摸屏的驱动程序.7. 做热表计量用.8. MSP430,常用中断.9. MSP430段码显示.10. 用msp430驱动1621芯片的段码液晶。.11. 深圳PXA270原理图,PROTEL99SE格式.12. ALTERA PWM電路 這是一個ALTERA的PWM電路.13. Tinyos 的一个无线应用的实现非常好用 希望大家能够喜欢.14. 内含C按键子程序.15. 并口的EPP模式模拟IIC接口.16. 直流电机PID控制程序,希望能帮助有需要的朋友..17. 这个源码是用altera公司的开发工具NIOS II IDE开发的基于软核处理器的AD、DA控制程序.18. 此源码是利用altera公司的NIOS II IDE开发的.19. 此源码是利用altera公司的nios II IDE开发的.20. 此源码是用altera公司的nios II IDE开发的.21. 此源码是利用altera公司的nios II IDE开发的源码.22. DOS下提取字模的程序.23. 此工程项目用于测试键盘 下载并运行该工程项目后.24. ZigBee精简概要 ZigBee精简概要.25. ZigBee问答 ZigBee问答ZigBee问答.26. 刚接触NIOS的时候学习NIOS串口通讯时候些的一个程序.27. MC9S12DG128.28. EZUSB FX2(Cy68013A)源码.29. 一个好程序关于CPLD的直线插补在数字积分中的应用.30. C1L105.c驱动.31. HX8309驱动.32. S6D0118驱动.33. MT18G09J.34. TL1771驱动.35. 166QQ_K驱动.36. Protel99SE的简明教程.Protel99SE是电路设计的入门软件.37. TMS320F2812的BIOS时钟的例程.38. 基于NIOS 软核处理器的uClinux的移植.39. ATmega8515 avr控制有源蜂鸣器发声的程序.40. 一个真正微型操作系统,比uCOS更小,只支持16个任务,但速度更快,所占资源更少..

    标签: 化学 化学工程师

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(63)

    VIP专区-嵌入式/单片机编程源码精选合集系列(63)资源包含以下内容:1. zlg7289a驱动程序 包括头文件和主文件 汇编和C语言齐全.2. vc++ 开放的串口通讯程序.3. 电子万年历制作的全部资料,可用单面板制作,顶层线比较少可用跳线,内用源码+原理图+PCB,可以显示到2050年的阴阳历,只须调整阳历..4. i2c协议实现.5. 优龙PAX255开发板所带AC97声卡的测试程序源码.6. 周立功的USB大容量存储开发板带CPLD的代码D的源码.7. wangxiaoyong0015@yahoo.com.cn b不懂的给我发邮件!!! 谢谢啊!!一定支持我.8. 用VHDL实现的DDS.9. uclinux移植过程中.10. viterbi decoder , use verilog HDL language..11. 三星ARM试验箱.12. USB JTAG 卡. 允许从主机USB口直接控制JTAG I/O 信号。 USB端与Altera USB-Blaster使用相同的协议。主机端与openwince, OpenOCD和Altera的.13. 许多非常有用的 Verilog 实例: ADC, FIFO, ADDER, MULTIPLIER 等.14. LPC2214开发原理图,绝好!!!!!!!!!! LPC2214开发原理图,绝好.15. CPLD开发电缆原理图,绝好的东东!!! CPLD开发电缆原理图,绝好的.16. 语音评分算法的实现,主要可以实现对一段语音信号进行判别并进行打分功能..17. lpc2132开发板的原理图,适合初学者学习用.18. 用ICC写的ATMega8的4X4键盘驱动程序.19. FPGA-CPLD_DesignTool,事例程序陆续上传请需要的朋友下载.20. I2C编译通过...大家下去直接用.支持程序员联合开发网.21. 步进电机控制实验.22. MagicARM2410与PC机串口通信实验.23. CanBus通信实验.24. 这是个C的一个程序.25. 这是个嵌入式程序.26. 一个MSComm控件的收发程序.27. 包括TI全系列DSK原理图汇总.28. 周立功公司的USB2.0芯片ISP1581的键盘上位机VC编的源程序.29. 这是一个学习proteus很好的资料。希望对大家的学习很有帮助.30. 本代码内容是关于带遥控器控制的LCD显示的实时时钟。.31. 本代码是关于用INT0中断实现按键计数.32. 本代码是关于循环灯的代码.33. 本代码是关于符点数在数码管上显示的.34. 本代码是关于AT24C02串行存储器的读写的.35. 一种使用可控硅控制.36. 这是运动控制课程设计时自己设计的电路原理图.37. vxworks tffs mtd 层源码,支持非INTEL格式.38. 自己看吧 eerom的.39. DOS下的TCP/IP源代码,可以做参考..40. 基于FPGA的SD控制器实现.目前实现读操作功能,可作参考..

    标签: 五金手册

    上传时间: 2013-06-01

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(65)

    VIP专区-嵌入式/单片机编程源码精选合集系列(65)资源包含以下内容:1. DM9161应用电路原理图来自DAVICOM网站。需要的可以自由下载.2. ALTERA CPLD器件的配置与下载,贡献给初学习者,非长有用.3. This design package includes reference materials for creating a USB - PS/2 combination mouse that a.4. 字符表示的十六进制数转化为相应的整数    错误则返回    -1   .5. C51的12864m.6. 8个字LED点阵的显示程序.7. 51+ch375超级经典的U盘读写程序嘿嘿赶快下载把.8. PWM控制电机C程序-已通过实验测试 PWM控制精确控制电机的转动..9. C51串口单工通讯程序一成功通过测试,请放心下载.10. 来自网上好心人的好东东,关于SD卡读写的,内附protel原理图.11. 设计输入 ! 多种设计输入方法 – Quartus II • 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 .12. 全是FPGA的例子 对大家应该有好处 大家赶快下把 知识不等人.13. 此为编程代码示例.14. 此为编程代码示例.15. 我在spartan-3e starter kit 的板上实现了mc8051.16. 完成MP3播放功能.17. 一个关于实时时钟驱动方面的程序(ARM7).18. 遍历二叉树的4个非递归算法 vc编程基础.19. 快速排序的非递归算法 vc编程练习.20. 雷达高频接收机.21. 我的开发板的所有测试程序.22. ps2的驱动.23. 密度测试仪的源码.24. 本程序主要内容18b20+s52+uart单点测温详细c51程序.25. CPLD 9536 程序 我自己用的代码. VHDL语言.26. AMD嵌入式处理器AU1200开发板原理图.27. cpld3128开发板的原理图 很有用,已经做成PCB,需要的话可以联系我.28. ertfs文件系统里面既有完整ucos程序.29. 基于atmega128的交通信号灯控制程序.30. 利用软件编写的I2C传输界面程序,适用于现有4位机等汇编语言中!.31. I2C控制程序,供大家学习参考,使用时根据自己的系统修改..32. This designs uses a Nios II system to demonstrate how to read from the SD card. The software reads W.33. his design is the initial design when the board is powered-up. It increments a counter and displays.34. 用于多于9个串口的ce驱动程序。时间仓促。可以参考.35. CSD卡的dos驱动程序源码.36. ADT700的小程序.37. 嵌入式的小程序.38. 基于cpld的hdb3编码器 基于cpld的hdb3编码器.39. 基于cpld的交通灯设计 ?赾pld的交通灯设计.40. 用于ARM9系列的S3C2440A的bootloader,Linux平台.

    标签: 固件

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(68)

    VIP专区-嵌入式/单片机编程源码精选合集系列(68)资源包含以下内容:1. 2.4G无线芯片NRF24L01的控制程序.2. nios32指令手册.3. SOPC学习板原理图,可以自己DIY一个开发板.4. 嵌入式处理器.5. Linux环境.6. Linux 系统中.7. irda-utils-0.9.18.tar.gz Linux系统中.8. pcmcia-cs-3.2.7.9. 红外通信工具 EVC下的Windows CE红外通信编程实例.10. 将NiosII程序下载到Flash的方法(Step_by_step).11. NIOS 设计从入门到精通 www.sopc.net.cn.12. EM78P153源代码,含24C02,I2C,以及1418FM发射模块控制部分!.13. 摩托罗拉的脉冲信号输出的原代码.14. 摩托罗拉的时钟的原代码.15. 基于CH375的USB数据采集应用程序,上位机VB.16. 三星公司评估板2440的原理图,包含了所有的外围器件的原理图.比较详细.17. MSP430F169+LCD原理图.18. 各种器件的驱动程序.19. Protel原理图需要的Xilinx元件库.20. 本人收集的在protel99下运行的常用元器件的封装库.21. sch是原理图 7920的驱动程序 avr128.22. 是完成从00到99计数的汇编程序生成文件.23. 节点是网络系统的基本控制单元.24. 基于NIOSII的UART的原代码.25. Globus Toolkit 4编程指南 是关于网格计算中最通用的软件GLOBUS工具箱第4版的使用详解.26. CPLD  一个简单程序 希望大家喜欢.27. this a book about cpld fpga developmen,it is very useful for eda development.28. 一个电表的程序.29. 此电路为计时器电路设计原理图.30. 此电路为考勤机电路设计原理图.31. DSP抽样程序,TMS320VC5402,CCSga.32. 这是一个PCI9820CAN通信卡通信控制程序.33. IAR Embedded Workbench下编译的sst25lf080a操作的MSP430程序包括串口通讯.34. 周边报警的报警控制程序需要的爱好者来下载吧.35. Lakey这是一个免费的CW练习/收/发软件.36. HPI与PC相通信的源代码 喜欢DSP的爱好者快来看看吧.37. arm的地址结构fe分析。arm加载和运行空间地址分配详细指导。.38. arm7 lpc2103 的keil 程序开发包 非常有参考价值.39. vxworks下ppp的实现源码.40. vworks 下wlan的实现代码.

    标签: 螺纹

    上传时间: 2013-05-26

    上传用户:eeworm