虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

Verilog语言

  • Xilinx公司 FPGA开发实用教程 -800页

    Xilinx公司 FPGA开发实用教程 -800页第1章 FPGA开发简介 更多.. 本章主要介绍FPGA的起源、发展历史、芯片结构、工作原理、开发流程以及Xilinx公司的主要可编程芯片,为读 者提供FPGA系统设计的基础知识。 第1节 可编程逻辑器件基础 第3节 基于FPGA的开发流程 第2节 FPGA芯片结构 第4节 Xilinx公司器件简介 第2章 Verilog HDL语言基础 更多.. 本章主要介绍Verilog语言的基本语法和典型的应用实例,关于VHDL和System C的使用可参考相关文献,限于篇 幅,本书不对它们展开分析。 第1节 Verilog HDL语言简介 第3节 VerilogHDL语言的数据类型... 第5节 Verilog代码书写规范 第6节 Verilog常用程序示例2 第2节 Verilog HDL基本程序结构 第4节 Verilog HDL语言的描述

    标签: fpga

    上传时间: 2022-03-25

    上传用户:20125101110

  • 基于FPGA的SDRAM串口实验

    基于FPGA的SDRAM串口实验,Verilog语言编写

    标签: fpga sdram 串口

    上传时间: 2022-04-26

    上传用户:kid1423

  • FPGA笔试题及答案

    1.       目前世界上有十几家生产CPLD/FPGA的公司,最大的两家是:( )和 ( )。答案:Xilinx、Altera目的:知识面考点:fpga熟悉2.       FPGA的基本结构由3种可编程单元和一个用于存放编程数据的静态存储器组成。这3种可编程的单元分别是()、()和()。答案:IOB——输入输出模块目的:知识面            CLB——可编程逻辑模块IR—互联资源或可编程内部连线目的:fpga基本结构的了解考点:fpga基本知识 3.       Verilog语言信号赋值包括非阻塞赋值和阻塞赋值,一般非阻塞赋值用在( )描述中,阻塞赋值用在( )描述中;答案:时序电路、组合逻辑目的:Verilog语言的了解考点:硬件语言知识

    标签: fpga

    上传时间: 2022-05-09

    上传用户:突破自我

  • 基于CPLD器件的线型CCD东芝TCD1501的驱动程序

    基于CPLD器件的线型CCD东芝TCD1501的驱动程序,用Verilog语言开发。

    标签: cpld ccd tcd1501

    上传时间: 2022-05-16

    上传用户:kjl

  • 数字音频接口spdif ip core实验

    数字音频接口spdif ip core,Verilog语言编写,带有testbench

    标签: 数字音频接口

    上传时间: 2022-05-17

    上传用户:woyaotandang

  • VIP专区-嵌入式/单片机编程源码精选合集系列(107)

    VIP专区-嵌入式/单片机编程源码精选合集系列(107)资源包含以下内容:1. 实现了i2c。介绍了代码的可执行性.2. 介绍了如何实现URAT。介绍了如何用VERILOG代码实现URAT。.3. 此程序为C51编程的OCM12864点阵汉字液晶显示.4. 24C64 EEPROM读写的C语言程序.5. 多功能旅游数字助理 (Multifunctional Tour Digital Assistant).6. 微电子方面的.7. 网络是嵌入式应用的常备功能.8. winrunner使用教程 winrunner是基于MS Windows的功能测试工具.9. 嵌入式FAT16文件系统,code C , Full version,function contains read file,write file,create file,etc.10. Verilog语言编写.11. 嵌入式EVC正玄信号产生程序.12. 这是一个数字中的课程设计.13. 几个LPC2378的原理图.14. nxp lpc2368原理图.15. PS2Dev Environment for Win32。用于SONY的Psp游戏机的Sdk开发包.16. ATMEGA16 Processor software guild.17. DS18B20 for 8051 in C51.18. 嵌入式期刊.19. 本文介绍了AVR中TWI(I2C)的工作原理.20. 常用芯片及元件手册,快速查找各种芯片及元件参数.21. 基于Nios_的视频处理系统的设计与实现,研究生论文.22. 六数码管显示.23. 51 下载软件.24. 不错的交通灯控制程序。实现十字路口交通灯功能.25. 在进行嵌入式程序设计时.26. WindowsEmbedded从入门到精通系列课程.27. WindowsEmbedded从入门到精通系列课程.28. ucOS 在单片机上实现.29. 《单片机C语言编程与实例》的代码第4章.30.  介绍了一种处理被测信号为线性、连续、缓慢变化的较为简单的数字滤波方法。.31. 数字滤波器的基本结构 IIR数字滤波器的基本结构 FIR数字滤波器的基本结构 格型结构等等都是最基本的东西.32. 实现dsPIC33FJ256MC710与AVAGO的OPTICAL SENSOR之间的SPI通讯.33. uboot-1.1.1.gz。uboot1.1.1.bin的压缩包.34. SSD5 EX6 源代码.35. 包括ICD演示程序、ICDDEMO教学实验板原理图、使用说明书、MPLAB使用向导等多项与ICD使用相关的内容。.36. ep2c5的核心板原理图.37. μC/OS-II 学习指导 uC/OS-II 源码公开的嵌入式实时多任务操作系统内核.38. 一份关于s3c44b0的实验指导手册,里面包括了详细的代码和说明,好不容易得到的..39. 实验4 看门狗应用实验 S3C2410的 开发环境ADS1.2.40. PWM timer实验基于S3C2410的开发环境是ADS1.2.

    标签: 半导体激光器

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(133)

    VIP专区-嵌入式/单片机编程源码精选合集系列(133)资源包含以下内容:1. 一款优秀的51系统读写U盘的源程序和原理图.2. Automating Manufacturing Systems with PLCs 一本关于plc的理论书籍,解析很详细.3. 基于ATmega128的无线通信节点程序.4. wince 串口通讯非常好的源代码.5. Cypress 的(鼠标+键盘)复合设备汇编源程序.6. S3C44B0X开发板上的蜂鸣器测试程序.7. MCP2515使用的一个例子,有原理图和原代码.很使用..8. 步进电机的C++控制 很好用 适合初学者参考.9. 基于无线网络zigbee的协议栈的c代码。.10. 该文档介绍了如何用ti的cc2420来实现zigbee无线传感网络。.11. NPI单片机C语言编写的EEPROM读写程序,单片机为AT89C51ED2..12. IP04是一个使用Blackfin开源硬件结合Asterisk开源软件建立的IPPBX系统..13. 西安傅立叶科技的三星ARMS3C2410开发板电路的原理图.14. 磁盘FAT扇区数据读写操作 Ver 1.20(更新版).15. ATMEL 90usb128 USB CDC source,include USB protocol stack..16. (1)利用单片机定时器中断和定时器计数方式实现秒、分定时。 (2)通过LED显示程序的调整.17. 可实现时钟功能,倒计时,秒表,可以修改时间,蜂鸣器报警等.18. 带 SPI接口的独立 以太网控制器 . 2006 Microchip Technology Inc.高级信息 DS39662A_CN.19. 本文研究了在目前流行的嵌入式微控制器ARM9(Samsung 的 S3C2410)上硬件测试的方法。分析了在嵌入式Linux基础上开发测试程序的基本方法.20. 基于ARM2200开发平台开发的嵌入式TCPIP协议栈.21. Nucleus集成开发环境测试版.22. 软件无线电USRP v4.2版本硬件原理图.23. 嵌入式usb硬件开发.24. 基于QtEmbedded的GUI移植及应用程序开发.25. 最流行的CPLD.26. Verilog语言编写的多处理器的程序代码.27. Flash file system.28. 本设计应用AT89C52单片机对高亮度LED显示屏进行控制.29. 最近做的工程需要用到步进电机,但由于量小,又没有厂家的选型手册,只得托人从深圳随便发了几个…… NMB (PM35L-048-HPH7)据我的测试.30. 运行ambfn7.m函数.31. 《PIC单片机应用开发典型模块》源代码及图片.32. 嵌入式开发的资料.33. 这是一个在某开发板上的FLASH文件系统的源码.34. STC12C5404AD的串口程序.35. E2ROM的读写程序.36. DS1302+AT89S52+LED的时钟显示.37. 电子时钟芯片ds1302的c51的程序例子.38. 用AT89C51和DS1302一起做的万年历.39. 简单的在ATMEGA64上移植ucosII.40. 用硬件描述语言(或混合原理图)设计模24计数器模块、4-7显示译码模块、顶层模块。.

    标签: 智能卡技术

    上传时间: 2013-06-24

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(159)

    VIP专区-嵌入式/单片机编程源码精选合集系列(159)资源包含以下内容:1. 这是对于自动化测试记事本程序的补充脚本.2. Protel 99SE格式的ARM9的原理图.3. 在ALTERA的软核NIOS下watchdog组件的控制函数.4. 一份很不错的嵌入式系统开发应用论文.5. 单片机例子程序F340_example.6. %本程序中时间单位是毫秒 %频率单位为kHz %本例说明取样点数与仿真精度的关系 跟在%符号后面的是程序注释.7. LPC2378关于串口的程序.8. LPC2378读写FM24cl04.9. 嵌入式系统详细文档,!!!嵌入式系统说明文档.10. ARM嵌入式应用开发典型实例配书光盘,希望对你有用!.11. PIC中断与时间中断汇编编程.12. 能实现2_16 任意进制之间的转换.13. 用单片机读写SST48CFxxx系列CF卡.14. CAN总线的入门书.15. 三星44B0 ADS7843触摸屏驱动程序.16. 基于LPC2136的TFT真彩 液晶程序.17. 基于44b0的USBSL811_控制器的驱动程序.18. 通过同步软件来获取移动智能设备屏幕画面.19. 关于通信基本原理的仿真.20. 1335液晶的光标控制.21. s3c44b0x胡液晶驱动开发里程.22. s3c44b0的设计原理图.23. 用GNU 等免费工具开发ARM系统.24. 使用Verilog语言控制CPLD通过红外收发器进行红外通讯.25. protel绘制的图片.26. 简单易懂GUI的用法.27. zigbee无线网络源码包.28. 用at89s52单片机控制步进电机实现1/8细分.29. < 嵌入式C语言程序设计>>一书的源代码.30. SST39VF1601.c sst39vf1601源代码.31. emmbeded system , very good.32. 该程序完成了c8051外围电路的驱动和串口协议的编写。希望能给大家学习51单片机带来帮助.33. LCD12864串行显示程序.34. 用于单片机开发.35. os开发的所需的鼠标驱动.36. 这个是个4个数码管显示时间的程序.37. arm精简指令集.38. 用于PLC或RTU上的MODBUS 通信代码,可以作为MODBUS程序开发参考.39. i2c源代码情景分析(beta2) 介绍i2c.40. 卡上芯片-EM4100_DS RFID.

    标签: 6.4 业余无线电 通信

    上传时间: 2013-04-15

    上传用户:eeworm

  • 基于Verilog HDL语言的FPGA设计

    采用 Verilog HDL 语言在Altera 公司的FPGA 芯片上实现了RISC_CPU 的关键部件状态控制器的设计,以及在与其它各种数字逻辑设计方法的比较下,显示出使用Verilog

    标签: Verilog FPGA HDL 语言

    上传时间: 2013-07-06

    上传用户:也一样请求

  • 《Verilog HDL 硬件描述语言》

    ·详细说明:正式出版物《Verilog HDL 硬件描述语言》一书的精美 PDF 电子版。- Official publication Verilog HDL Hardware Description Language a book fine PDF electron version.目      录译者序前言第1章   简介&n

    标签: nbsp Verilog HDL 硬件描述语言

    上传时间: 2013-07-02

    上传用户:6404552