虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

VHD-UTIL

  • CMPP3.0源码

    CMPP3.0源码 java实现 1.将common文件夹、MsgConfig.properties放于src根目录下。 2.修改MsgConfig.properties配置文件对应的内容为可用参数。 3.方法入口:common.msg.util.MsgContainer     sendWapPushMsg(String url,String desc,String cusMsisdn):发送web push短信;         sendMsg(String msg,String cusMsisdn):发送SMS 4.“定时器.txt”记录的是长链接链路检查的基于spring的配置,如果使用java原生定时器可自行配置。 5.依赖包包括

    标签: CMPP3 源码

    上传时间: 2019-11-11

    上传用户:leonmomo

  • VHDL语言100例 VHDL学习资料VHDL 编程要点VHDL编程心得体会: 100vhdl例子

    VHDL语言100例 VHDL学习资料VHDL 编程要点VHDL编程心得体会:100vhdl例子VHDL 编程要注意问题.docVHDL——按键消抖.docVHDL电路简化.docVHDL编程心得体会.pdfvhd开发的官方手册.pdf第1例 带控制端口的加法器第2例 无控制端口的加法器第3例 乘法器第4例 比较器第5例 二路选择器第6例 寄存器第7例 移位寄存器第8例 综合单元库第9例 七值逻辑与基本数据类型第10例 函数第11例 七值逻辑线或分辨函数第12例 转换函数第13例 左移函数第14例 七值逻辑程序包第15例 四输入多路器第16例 目标选择器第17例 奇偶校验器第18例 映射单元库及其使用举第19例 循环边界常数化测试第20例 保护保留字第21例 进程死锁 第22例 振荡与死锁第23例 振荡电路第24例 分辨信号与分辨函数第25例 信号驱动源第26例 属性TRANSACTION和分辨信号第27例 块保护及属性EVENT,第28例 形式参数属性的测试第29例 进程和并发语句第30例 信号发送与接收第31例 中断处理优先机制建模第32例 过程限定第33例 整数比较器及其测试第34例 数据总线的读写第35例 基于总线的数据通道第36例 基于多路器的数据通道第37例 四值逻辑函数第38例 四值逻辑向量按位或运算第39例 生成语句描述规则结构第40例 带类属的译码器描述第41例 带类属的测试平台第42例 行为与结构的混合描述第43例 四位移位寄存器第44例 寄存/计数器第45例 顺序过程调用第46例 VHDL中generic缺省值的使用第47例 无输入元件的模拟第48例 测试激励向量的编写第49例 delta延迟例释第50例 惯性延迟分析第51例 传输延迟驱动优先第52例 多倍(次)分频器第53例 三位计数器与测试平台第54例 分秒计数显示器的行为描述6第55例 地址计数器第56例 指令预读计数器第57例 加.c减.c乘指令的译码和操作第58例 2-4译码器结构描述第59例 2-4译码器行为描述第60例 转换函数在元件例示中的应用第61例 基于同一基类型的两分辨类型的赋值相容问题第62例 最大公约数的计算第63例 最大公约数七段显示器编码第64例 交通灯控制器第65例 空调系统有限状态自动机第66例 FIR滤波器第67例 五阶椭圆滤波器第68例 闹钟系统的控制第69例 闹钟系统的译码第70例 闹钟系统的移位寄存器第71例 闹钟系统的闹钟寄存器和时间计数器第72例 闹钟系统的显示驱动器第73例 闹钟系统的分频器第74例 闹钟系统的整体组装第75例 存储器第76例 电机转速控制器第77例 神经元计算机第78例ccAm2901四位微处理器的ALU输入第79例ccAm2901四位微处理器的ALU第80例ccAm2901四位微处理器的RAM第81例ccAm2901四位微处理器的寄存器第82例ccAm2901四位微处理器的输出与移位第83例ccAm2910四位微程序控制器中的多路选择器第84例ccAm2910四位微程序控制器中的计数器/寄存器第85例ccAm2910四位微程序控制器的指令计数器第86例ccAm2910四位微程序控制器的堆栈第87例 Am2910四位微程序控制器的指令译码器第88例 可控制计数器第89例 四位超前进位加法器第90例 实现窗口搜索算法的并行系统(1)——协同处理器第91例 实现窗口搜索算法的并行系统(2)——序列存储器第92例 实现窗口搜索算法的并行系统(3)——字符串存储器第93例 实现窗口搜索算法的并行系统(4)——顶层控制器第94例 MB86901流水线行为描述组成框架第95例 MB86901寄存器文件管理的描述第96例 MB86901内ALU的行为描述第97例 移位指令的行为描述第98例 单周期指令的描述第99例 多周期指令的描述第100例 MB86901流水线行为模型

    标签: vhdl

    上传时间: 2021-10-21

    上传用户:ttalli

  • FactoryIO_Template

    Util para programar en plc SIEMENS enlazado con FactoryI/OFactory I/O es un software para automatización en tiempo real donde se puede construir y simular sistemas industriales y utilizarlos con las tecnologías de automatización más comunes. Esta simulación es totalmente interactiva e incluye gráficos de alta calidad y sonido, proporcionando un entorno realista industrial.Factory I/O utiliza una tecnología innovadora que permite una creación fácil y rápida de los sistemas industriales en 3D con solo arrastrar y soltar. Cualquiera de los sistemas construidos se pueden controlar en tiempo real mediante la conexión de Factory I/O y equipos externos como PLC´s, microcontroladores, FPGA, etc.Factory I/O es una valiosa herramienta de enseñanza para la formación de futuros técnicos e ingenieros en varios programas y cursos tales como automatización industrial, Mecatrónica, Ingeniería Eléctrica, Ingeniería Mecánica, Instrumentación y muchos más.Plantillas para Tia PortalTenga en cuenta que debe utilizar un proyecto de plantilla de TIA Portal al conectarse a S7-PLCSIM v13-16. La E / S de Factory IO no podrá comunicarse con S7-PLCSIM de lo contrario.

    标签: factoryio SIEMENS

    上传时间: 2022-01-30

    上传用户:zinuoyu

  • linux编译OpenWRT固件教程

    并不是每个人都需要编译固件,笔者更推荐你直接使用官方固件,如果需要相应的软件,可以直接通过opkg 安装。为满足更多人需求,这里提供一个傻瓜教程,照着走一次,就编译好了,转的别问我为什么⋯⋯⋯⋯1.根据你的系统安装相应的包,把以下命令复制粘贴运行一次就行。Ubuntu 32bitsudo apt-get install build-essential subversion git-core libncurses5-dev zlib1g-dev gawkflex quilt libssl-dev xsltproc libxml-parser-perl mercurial bzr ecj cvs unzipUbuntu 64bitsudo apt-get install build-essential subversion libncurses5-dev zlib1g-dev gawk gccmultilibflex git-core gettextArch Linuxpacman -S --needed subversion asciidoc bash bc binutils bzip2 fastjar flex git gcc util-linuxgawk intltool zlib make cdrkit ncurses openssl patch perl-extutils-makemaker rsync sdcc unzipwget gettext libxslt boost libusb bin86 sharutils b43-fwcutter findutilsDebian 6 Squeezeapt-get install libncurses5-dev zlib1g-dev gawk flex libssl-dev sdcc-nfDebian 7 Wheezy

    标签: linux openwrt

    上传时间: 2022-06-23

    上传用户:bluedrops

  • VCS简明使用教程

    仿真的过程编译Compile VCS对源文件进行编译,生成中间文件和可执行文件仿真Simulate运行可执行文件,对设计进行仿真调试通过观察波形、设置断点、追踪信号、查看schematic等来发现错误,并进行纠正覆盖率测试通过在编译时,加入覆盖率测试的选项、仿真后,生成包含覆盖率信息的中间文件来显示测试平台的正确性和完备性。一个常见的编译命令如下:vcs f-y+libext+-V\-P-Mupdate-o-I +V2k-R-RI-s\-debug_all+vcsd +define++timopt+<>-line\+incdir+++memopt[+2]-sverilog-mhdl +ad\-full64-comp64+nospecify +notimingcheck-ntb +race\-ova_file +vpdfile++vpdfilesize+\+vpdupdate +cli++vcs+initmem+011lxlz\+vcs+initreg+0|1lx|z +Vc-cm lineltgllcondlfsmlpathlbranch-cm_dir\-vlib-file是Verilog文件,包含了引用的module的定义,可以是绝对路径,也可以是相对路劲。-y1ibdir是参考库的目录,vcs从该目录下寻找包含引用的module的Verilog文件,这些文件的文件名必须和引用的module的名一样+libextt++..vcs在参考库目录下寻找以.v和.vhd为扩展名的文件。多个扩展名之间用“+”连接。

    标签: vcs

    上传时间: 2022-07-01

    上传用户:tigerwxf1

  • VIP专区-嵌入式/单片机编程源码精选合集系列(86)

    VIP专区-嵌入式/单片机编程源码精选合集系列(86)资源包含以下内容:1. 4*4键盘扫描程序,程序简单明了,注释清晰易懂 !.2. 1、程序目的:AT91SAM7A3的CAN功能验证与使用指导。 2、功能说明:该程序包括三个常用CAN功能的测试 1)、测试1:将CAN0 Mailbox 0中的数据传到CAN1 Mailbox.3. ISD25120语音电路程序.4. 包含2个文件包 1.基于LPC213X的SD卡SPI口读写模块 2. uCOS-II在LPC2000上的移植代码.5. cc2420-A True System-on-Chip solution for 2.4 GHz IEEE 802.15.4 / ZigB.6. MSP430FG4619对LCD进行显示的完整工程源文件包,对MSP430和LCD显示具有参考价值.7. Matlab_simulink在FPGA设计中的应用.8. vhd语言.9. NiosII的范例.10. apache 安装教程 apache 安装教程.11. 凌阳7300 原理图 凌阳7300 原理图.12. C51弹片机简单计算器.13. 循环日志读写,用于嵌入式系统记载日志文件.14. RC500 source code!.15. C++编写的日历程序.16. 日历加判断第几周,请输入一个日期.17. 请输入一个日期.18. epson mcu 启动代码与动画实现.19. 2262 lcm abcdefghijkl.20. 在EASYARM实验平台上的数字/模拟转换测试代码.21. EASYARM2200上图形液晶显示代码.22. 基于ARM处理器的SMG240128A驱动程序.23. NiosII下UCOS和移植Linux教程,很难找到的资料.24. NIosII软处理器快速入门,ALTERA FPGA的NIOSII入门指导.25. SPI 4线接口spec,对硬件和驱动有兴趣的朋友可以下载.26. 在VS2005下写的把SQLCE上的数据库数据导出为XML的应用程序.27. 这是一个关于用C语言编程时要在液晶显示器上显示汉字时需要用到的汉字字库。.28. 一个关于交通灯控制实验的原理图和程序以及详细说明.29. 此源代码是基于UCOSII 以S3c44b0xARM7为主控芯片的系统。可以显示世界各时区的时钟.30. 四轴控制电机驱动的源程序,在编译环境中已通过.31. 一个基于ZigBee技术的无线传感器网络平台.32. 5按键_SD卡MP3程序.33. ADS下开发LED的一个简单例子.34. 一种基于CPLD和PC I总线的视频采集卡的设计方案.35. arm7最小系统的编程原码,具有与上位机通讯协议,能同时控制10个开关量与三个模拟量及三个脉冲量..36. 320*240液晶屏程序.37. ARM7 S3C44B0X开发板官方原理图.38. ARM9 S3C2410外接用TFT液晶显示模块原理图.39. VGA的IP核.40. ISP1362的IP核.

    标签: 机械 技术应用 合一 机械设计

    上传时间: 2013-06-08

    上传用户:eeworm