vhdl程序 Uncomment the following lines to use the declarations that are provided for instantiating Xilinx primitive components.
标签: instantiating declarations Uncomment the
上传时间: 2013-12-21
上传用户:CHENKAI
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is Port ( clk : in std_logic; resetn : in std_logic; dout : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std_logic; lcd_rw : out std_logic); end counter;
上传时间: 2013-10-30
上传用户:wqxstar
There are two files in the zip folder. bpsk_spread.m and jakesmodel.m Steps for simulation: 1] Run jakesmodel.m first 2] Then run bpsk_spread.m . 3] Note that during the first run bpsk_spread.m has no rayleigh fading.This is because the corresponding code has been commented 4] The resulting performance is stored in BER_awgn. 5] Now Uncomment the Rayleigh Fading code in bpsk_spread.m file. 6] Same time comment BER_awgn (line 112) and Uncomment BER_ray variable. 7] Run the simulation. To compare the perfromances of the receiver using DSSS plot the BER_awgn and BER_ray
标签: bpsk_spread jakesmodel simulation folder
上传时间: 2016-05-19
上传用户:ynsnjs
UDDI for weblgoci In the main function, there is a list of functions calls that are commented. Each of those function calls tests a functionality of the UDDI api. To run a test, simply Uncomment one of the function calls, and then compile this file and run it.
标签: commented functions weblgoci function
上传时间: 2017-04-24
上传用户:love_stanford