虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

Turbo码

Turbo码是Claude.Berrou等人在1993年首次提出的一种级联码。
  • Turbo乘积码[TPC]的编码程序

    Turbo乘积码[TPC]的编码程序,Turbo乘积码[TPC]作为一种分组的Turbo码,把码块的行和列都加以编码,使得行和列都有纠检错的能力。

    标签: Turbo TPC 乘积码 编码

    上传时间: 2014-01-19

    上传用户:虫虫虫虫虫虫

  • 基于FPGA的LDPC码的实现.rar

    低密度校验码(LDPC)是一种能逼近Shannon容量限的渐进好码,其长码性能甚至超过了Turbo码。低密度校验码以其迭代译码复杂度低,没有错误平层,码率和码长可灵活改变的优点成为Turbo码强有力的竞争对手。目前,LDPC码已广泛应用于深空通信、光纤通信、卫星数字视频和音频广播等领域,因此LDPC码编译码器的硬件实现已成为纠错编码领域的研究热点之一。 本文在分析LDPC码的基本编码结构基础上,首先研究了LDPC码的随机构造方法,并给出了有效的PEG算法实现方法,重点分析了用环消除(cycle elimination)算法实现的准循环LDPC码的构造。然后对LDPC码的几种不同译码算法进行分析比较,讨论了一种适合硬件实现的译码算法-TDMP算法,并对易于硬件实现的TDMP算法进行了性能仿真,仿真结果表明TDMP算法作为硬件实现的译码算法具有优异的性能优势。最后针对Altera公司的StratixEPIS25 FPGA芯片设计了一个基于TDMP算法的(4096,2048)非规则LDPC码译码器,内部用了4个单校验码译码器并行译1帧数据,3帧同时译码,作者详细介绍了该译码器芯片的设计过程和内部结构和工作流程。

    标签: FPGA LDPC

    上传时间: 2013-05-23

    上传用户:fujun35303

  • MIMO-GMC系统中Turbo译码器的设计及FPGA实现

    Turbo码是一类并行级联的系统卷积码,它是在综合级联码、最大后验概率(MAP)译码、软输入软输出及迭代译码等理论基础上的一种创新。Turbo码的基本原理是通过对编码器结构的巧妙设计,多个子码通过交织器隔离进行并行级联编码输出,增大了码距。译码器则以类似内燃机引擎废气反复利用的机理进行迭代译码以反复利用有效信息流,从而获得卓越的纠错能力。计算机仿真表明,Turbo码不但在加性高斯噪声信道下性能优越,而且具有很强的抗衰落、抗干扰能力,当交织长度足够长时,其纠错性能接近香农极限。 FPGA(FieldProgrammableGateArray),即现场可编程门阵列,是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。FPGA技术具有大规模、高集成度、高可靠性、设计周期短、投资小、灵活性强等优点,逐步成为复杂数字硬件电路设计的理想选择。 本论文以东南大学移动通信实验室B3G课题组提出的“支持多天线的广义多载波无线传输技术”(MIMO-GMC)为背景,分析了Turbo译码算法,并针对MIMO-GMC系统的迭代接收机中所采用的外信息保留和联合检测译码迭代的特点,完成了采用滑动窗Log-MAP算法的软输入、软输出的Turbo译码器的设计。整个译码器模块的设计采用Verilog语言描述,并在VirtexⅡPro系列FPGA芯片上实现。

    标签: MIMO-GMC Turbo FPGA

    上传时间: 2013-04-24

    上传用户:shanml

  • 新型并行Turbo编译码器的FPGA实现

    可靠通信要求消息从信源到信宿尽量无误传输,这就要求通信系统具有很好的纠错能力,如使用差错控制编码。自仙农定理提出以来,先后有许多纠错编码被相继提出,例如汉明码,BCH码和RS码等,而C。Berrou等人于1993年提出的Turbo码以其优异的纠错性能成为通信界的一个里程碑。 然而,Turbo码迭代译码复杂度大,导致其译码延时大,故而在工程中的应用受到一定限制,而并行Turbo译码可以很好地解决上述问题。本论文的主要工作是通过硬件实现一种基于帧分裂和归零处理的新型并行Turbo编译码算法。论文提出了一种基于多端口存储器的并行子交织器解决方法,很好地解决了并行访问存储器冲突的问题。 本论文在现场可编程门阵列(FPGA)平台上实现了一种基于帧分裂和篱笆图归零处理的并行Turbo编译码器。所实现的并行Turbo编译码器在时钟频率为33MHz,帧长为1024比特,并行子译码器数和最大迭代次数均为4时,可支持8.2Mbps的编译码数掘吞吐量,而译码时延小于124us。本文还使用EP2C35FPGA芯片设计了系统开发板。该开发板可提供高速以太网MAC/PHY和PCI接口,很好地满足了通信系统需求。系统测试结果表明,本文所实现的并行Turbo编译码器及其开发板运行正确、有效且可靠。 本论文主要分为五章,第一章为绪论,介绍Turbo码背景和硬件实现相关技术。第二章为基于帧分裂和归零的并行Turbo编码的设计与实现,分别介绍了编码器和译码器的RTL设计,还提出了一种基于多端口存储器的并行子交织器和解交织器设计。第三章讨论了使用NIOS处理器的SOC架构,使用SOC架构处理系统和基于NIOSII处理器和uC/0S一2操作系统的架构。第四章介绍了FPGA系统开发板设计与调试的一些工作。最后一章为本文总结及其展望。

    标签: Turbo FPGA 并行 编译码器

    上传时间: 2013-04-24

    上传用户:ziyu_job1234

  • 采用随机输入作为码源

    采用随机输入作为码源,进行Turbo码编码,加入出错码位设定,然后进行turbo_map解码,得出最终输出码,以及纠错位数

    标签: 随机 输入

    上传时间: 2014-01-10

    上传用户:linlin

  • matlab数理统计工具箱的命令大全.对于matlab的学习有一定帮助. [Matlab图形图像处理函数详细列表.rar] - 使用Matlab语言编写的图形图像处理函数详细列表 [turbo

    matlab数理统计工具箱的命令大全.对于matlab的学习有一定帮助. [Matlab图形图像处理函数详细列表.rar] - 使用Matlab语言编写的图形图像处理函数详细列表 [turbo.rar] - 用matlab的Simulink对cdma2000中信道编码进行仿真 主要是Turbo码部分。可能要用matlab7。0打开。 [CHAP_02.rar] - ex020100 信号合成 ex020200 信号合成 ex020300 复数序列的信号合成 ex020400 奇偶合成 ex020500 卷积计算 ex020600 卷积的图解 ex020700 卷积计?BR> [雷达工具箱.zip] - 基于matlab中的simulink搭建的雷达信号处理仿真平台 [Statistics_Toolbox.rar] - Matlab官方文档:统计工具箱statistics toolbox [随机过程 时间序列的分析.rar] - 是随机过程中时间序列分析作业,基于Matlab编程实现模型判别,参数求取,模型预测等,另附有本人作业全文,供大家参考。 [用matlab写的梭哈游戏.rar] - 是一个梭哈游戏的小程序,主要是用来熟悉matlab最

    标签: matlab Matlab turbo

    上传时间: 2013-12-24

    上传用户:妄想演绎师

  • Woven码在TD-SCDMA中的应用仿真程序

    Woven码在TD-SCDMA中的应用仿真程序,并分别与卷积码,Turbo码在TD-SCDMA中的仿真性能进行了比较。

    标签: Woven SCDMA 中的应用 仿真程序

    上传时间: 2016-03-07

    上传用户:13188549192

  • 本文以H.264视频压缩标准和Turbo理论为基础

    本文以H.264视频压缩标准和Turbo理论为基础,提出了一种基于 H.264和Turbo码的信源信道联合解码方案

    标签: Turbo 264 视频压缩 标准

    上传时间: 2014-07-27

    上传用户:weiwolkt

  • Verilog环境下的Turbo编/译码器实现

    Turbo码的verilog实现,可以运行。

    标签: verilog turbo

    上传时间: 2015-05-18

    上传用户:HongBingli

  • Turbo码仿真

    turbo仿真的matlab源代码,可以选择是否进行删余,log-map,sova等编码形式

    标签: turbo 仿真

    上传时间: 2017-04-24

    上传用户:wanmorelove