虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

TcpIP

  • TcpIP源码分析.rar

    本书是一个比较完整介绍TCP/IP协议的动作的书籍,对新手有很大的帮助。

    标签: TcpIP 源码分析

    上传时间: 2013-04-24

    上传用户:yolo_cc

  • 基于FPGA的嵌入式TcpIP协议栈的实现.rar

    本文论述了嵌入式TCP/IP协议栈的实现,介绍了TCP/IP协议栈的原理,以及硬线实现TCP/IP协议栈的意义和应用。 第一章为绪论,介绍论文研究的目的、内容、意义和国内外研究发展的现状。 第二章介绍FPGA设计的流程和Verilog HDL设计语言。着重介绍了FPGA的代码输入、编译、综合、仿真和下载等等步骤,并且介绍了FPGA设计中使用到的EDA软件。介绍了Verilog HDL语言的起源,以及Verilog HDL语言的优缺点,并与VHDL语言进行了简单的比较。 第三章介绍嵌入式系统要实现的经过剪裁的TCP/IP协议栈的内容。着重介绍了要实现的TCP/IP协议栈的子协议,包括TCP协议、UDP协议、IP协议、ARP协议、ICMP协议。在介绍这些协议的时候,介绍了这些协议的工作原理,以及这些协议要用到的报文的格式。 第四章介绍实现剪裁的TCP/IP协议栈的实现,具体介绍的经过剪裁的TCP/IP各个模块的设计工作。这个部分着重介绍各个模块的设计方法,实现各个模块的过程。在设计完这些模块后,对这些模块的仿真进行了仿真。 第五章是全文的总结,概括了作者在这次毕业设计中的主要工作和课题的意义,同时指出了进一步工作的方向和需要解决的问题。

    标签: TcpIP FPGA 嵌入式

    上传时间: 2013-07-04

    上传用户:leesuper

  • 嵌入式TcpIP协议的FPGA实现.rar

    随着Internet的不断发展,人们希望日常生活中所用到的嵌入式设备都能够很方便地实现Intemet接入,这对嵌入式系统设计提出了新的挑战,要求低成本、多功能、高性能。这些是目前嵌入式系统设计的热点。 可编程逻辑器件FPGA在过去的几十年中取得了飞速发展,从最初的几千门到现在的几百万门,可靠性与集成度不断提高,而功耗和成本却在不断降低,具有很高的性价比。再加上开发周期短、对开发人员的要求相对较低的优点,因此被大量应用于嵌入式系统设计中。 本文是基于FPGA高性价比、可灵活配置的特点,也是当前流行的“微控制器+FPGA”的嵌入式系统设计方式,所以我们提出了基于FPGA的实现方案。本文通过在FPGA中硬件实现嵌入式TCP/IP协议(包括UDP、IP、ARP、TCP等网络协议)以及以太网MAC协议,并提供标准MII接口,通过外接PHY实现网络连接。最终成功地通过了验证。 基于FPGA的实现可以有效地降低成本,同时可以在其中集成其他功能模块,提高整个系统的集成度,减小PCB版图面积和布线复杂度,有利于提高系统可靠性。因此,本研究课题对嵌入式系统设计有很大的实用价值。

    标签: TcpIP FPGA 嵌入式

    上传时间: 2013-04-24

    上传用户:xlcky

  • 基于ARM的轻量级TcpIP协议栈的移植及应用

    近年来,嵌入式技术发展迅速,已经渗透到工业控制、智能设备以及电子消费品等人们日常生活的各个领域,而Internet技术也取得了巨大的发展,为人们所广为接受,于是嵌入式技术和Internet技术相结合形成的嵌入式Internet技术适时地出现了,并且成为实现远程控制、信息共享的关键技术。 本文首先阐述了课题研究的背景、目的和意义,以及嵌入式TCP/IP协议栈研究的现状,然后分析了嵌入式操作系统μ C/OS-Ⅱ的工作原理并描述了把它移植到LPC2210上的过程,为嵌入式TCP/IP协议栈实现提供了操作系统支持。接着,针对嵌入式协议栈的特殊需求,详细分析并采用了零拷贝技术、跨层技术等对LwIP协议栈进行了有效的裁剪和优化,结合μ C/PS-Ⅱ设计了一种紧凑的协议栈工作模型和内存管理机制。并且结合μ C/OS-Ⅱ设计了协议栈的工作模型和内存管理机制。在驱动程序的基础上实现了对LwIP中的IP协议、ARP协议、ICMP协议、UDP协议和TCP协议等几个协议栈的裁剪和优化。并分析了它们的安全漏洞及基于这些漏洞的攻击方式,在这个基础上提出了如攻击检测算法等填补漏洞和抵抗攻击的一些技术和措施。最后在目标板上成功移植了优化后的LwIP。 本文同时还设计了一种能够提供足够多软定时器资源的算法。在不需要操作系统支持的情况下,软定时器可以给多任务的系统提供足够的定时服务,而且不影响中断处理时间。并且具有自动回调功能,启动一次以后就可自动调用定时器。此软定时器使用方便且易移植,大大方便了本课题多次使用定时器的需要。课题结合具体项目“蓄电池状态记录仪的设计”,分析了它的各个功能模块,设计了其系统结构。对各个功能模块的设计与实现进行了代码编写和测试,借助于TFTP服务器实现了基于协议的嵌入式目标板和PC机间的网络通信。 本课题经过几个月的软硬件设计和现场测试,已实现了最初的设计目标。构建出了实验硬件平台和一个多任务多协议的基本实时系统框架。以后的开发者可以不必深入了解μ C/OS-Ⅱ实时操作系统和嵌入式TCP/IP协议栈的情况下就可以方便的创建一个嵌入式网络控制系统,并能在平台上开发其它的应用任务,为以后的研究提供了参考并奠定了基础。

    标签: TcpIP ARM 轻量级 协议栈

    上传时间: 2013-04-24

    上传用户:www240697738

  • mod_RSsim

    Modbus RTU/TcpIP 模擬器-Modbus RTU/TcpIP simulator

    标签: mod_RSsim

    上传时间: 2013-07-02

    上传用户:ikemada

  • 基于ARM和TcpIP协议的网络测控系统的研究与设计

    嵌入式系统是一种将底层硬件、实时操作系统和应用软件相结合的专用计算机系统,在经济社会和人们的日常生活中得到了越来越广泛的应用。嵌入式系统的研究与开发已成为现代电子领域的重要研究方向之一。嵌入式实时操作系统是嵌入式系统应用软件开发的支撑平台,网络化是主要趋势之一。 μC/OS-Ⅱ作为一种新兴的嵌入式实时操作系统,以其免费公开源码、面向中小型应用、可抢占、多任务以及较好的移植性等突出特点,在各类嵌入式设备中得到广泛应用。然而,μC/OS-Ⅱ内核中不支持TCP/IP协议栈,因而无法适应嵌入式设备网络化的需要。本文的主要目标是:在计算资源严重受限的条件下,研究使嵌入式系统支持TCP/IP协议的策略及其实现方法。 本课题以实验室现有的Samsung S3C44BOX芯片为核心的ARM开发板作为硬件平台,分析了ARM7TDM[内核的特点及S3C44BOX的结构。在详细分析实时操作系统μC/OS-Ⅱ及其内核原理的基础上对其进行适当的改进并成功移植到ARM硬件平台上。针对μC/OS-Ⅱ内核不支持TCP/IP协议栈的问题,引进了嵌入式TCP/IP协议uIP,将其应用到μC/OS-Ⅱ上,成为μC/OS-Ⅱ的网络服务模块,实现了对μC/OS-Ⅱ的网络功能的扩充,并在uIP基础上编写了相关的网络驱动程序。最后,本课题设计了基于HTTP协议的嵌入式Web服务器和基于TFTP协议的远程文件传输,从而使网络远程监控测量和在线程序的更新下载成为现实。 本课题经过数月的软硬件的设计和调试,已实现了最初的设计目标。测试结果表明:移植到ARM处理器上的μC/OS-Ⅱ内核可以成功实现对任务的调度;对μC/OS-Ⅱ内核扩充的TCP/IP协议——uIP可正常运行:嵌入式Web服务器和远、程文件传输在实验室局域网中的稳定运行,更加证明了本课题的成功性。

    标签: TcpIP ARM 协议 网络测控

    上传时间: 2013-05-17

    上传用户:LSPSL

  • 基于FPGA的嵌入式TcpIP协议栈的实现

    本文论述了嵌入式TCP/IP协议栈的实现,介绍了TCP/IP协议栈的原理,以及硬线实现TCP/IP协议栈的意义和应用。 第一章为绪论,介绍论文研究的目的、内容、意义和国内外研究发展的现状。 第二章介绍FPGA设计的流程和Verilog HDL设计语言。着重介绍了FPGA的代码输入、编译、综合、仿真和下载等等步骤,并且介绍了FPGA设计中使用到的EDA软件。介绍了Verilog HDL语言的起源,以及Verilog HDL语言的优缺点,并与VHDL语言进行了简单的比较。 第三章介绍嵌入式系统要实现的经过剪裁的TCP/IP协议栈的内容。着重介绍了要实现的TCP/IP协议栈的子协议,包括TCP协议、UDP协议、IP协议、ARP协议、ICMP协议。在介绍这些协议的时候,介绍了这些协议的工作原理,以及这些协议要用到的报文的格式。 第四章介绍实现剪裁的TCP/IP协议栈的实现,具体介绍的经过剪裁的TCP/IP各个模块的设计工作。这个部分着重介绍各个模块的设计方法,实现各个模块的过程。在设计完这些模块后,对这些模块的仿真进行了仿真。 第五章是全文的总结,概括了作者在这次毕业设计中的主要工作和课题的意义,同时指出了进一步工作的方向和需要解决的问题。

    标签: TcpIP FPGA 嵌入式 协议栈

    上传时间: 2013-04-24

    上传用户:13215175592

  • 嵌入式TcpIP协议的FPGA实现

    随着Internet的不断发展,人们希望日常生活中所用到的嵌入式设备都能够很方便地实现Intemet接入,这对嵌入式系统设计提出了新的挑战,要求低成本、多功能、高性能。这些是目前嵌入式系统设计的热点。 可编程逻辑器件FPGA在过去的几十年中取得了飞速发展,从最初的几千门到现在的几百万门,可靠性与集成度不断提高,而功耗和成本却在不断降低,具有很高的性价比。再加上开发周期短、对开发人员的要求相对较低的优点,因此被大量应用于嵌入式系统设计中。 本文是基于FPGA高性价比、可灵活配置的特点,也是当前流行的“微控制器+FPGA”的嵌入式系统设计方式,所以我们提出了基于FPGA的实现方案。本文通过在FPGA中硬件实现嵌入式TCP/IP协议(包括UDP、IP、ARP、TCP等网络协议)以及以太网MAC协议,并提供标准MII接口,通过外接PHY实现网络连接。最终成功地通过了验证。 基于FPGA的实现可以有效地降低成本,同时可以在其中集成其他功能模块,提高整个系统的集成度,减小PCB版图面积和布线复杂度,有利于提高系统可靠性。因此,本研究课题对嵌入式系统设计有很大的实用价值。

    标签: TcpIP FPGA 嵌入式 协议

    上传时间: 2013-07-08

    上传用户:450976175

  • 51单片机各类源程序集锦

    51单片机各类源程序集锦,代码为Keil C51环境,涉及CAN,EEPROM,LCD,LCM,IO_Key,LIN,RS232,RS485,RTC,TcpIP,USB1.0,USB2.0等。

    标签: 51单片机 源程序 集锦

    上传时间: 2013-06-12

    上传用户:zhch602

  • ZLG DP-668开发板全部程序

    ·详细说明:ZLG DP-668 开发板全部程序 \BELL\ 蜂鸣器演示程序 \CAN测试程序\ CAN双机通信演示程序 \EEPROM\ 24WC02演示程序 \LCD\ 128*64液晶模块演示程序 \RED\ 红外收发器演示程序 \RS232\ RS232串行接口演示程序 \RTC\ PCF8563时钟芯片演示程序 \TcpIP\ TcpIP通信演示程序(包含PING功能,及TCP,UDP等

    标签: nbsp ZLG 668 DP

    上传时间: 2013-05-28

    上传用户:tuilp1a