虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

TCP-IP

  • VIP专区-嵌入式/单片机编程源码精选合集系列(35)

    VIP专区-嵌入式/单片机编程源码精选合集系列(35)资源包含以下内容:1. HP/Compaq 10/100以太网驱动 HP内部珍贵资料!.2. HP喷墨打印机驱动代码 HP内部资料! 珍贵 珍贵 珍贵.3. Developing Embedded Software in C.4. 二相步进电机驱动芯片TA8435H及其应用.5. 详细介绍Linux 的内存管理方法和内存管理原理,具有很高的参考价值.6. nt file system 过滤的源代码,对于怎么写文件系统的过滤有帮助..7. 对usb 的工作原理进行了细致的分析,还分析了usb整个信息的交流过程..8. 这是一本很好的嵌入式底层编程的书.9. C嵌入式程序员面试指南,详细说明了C嵌入式程序员必须具备哪些技能,是C嵌入式程序员面试必看之文档..10. C嵌入式程序员面试指南,详细说明了C嵌入式程序员必须具备哪些技能以及面试时的技巧,是C嵌入式程序员面试必看之文档....11. 《Java嵌入式开发讲座》.12. 此程序为断码式液晶显示屏1621的 驱动程序.13. S3C4510B的一些开发例程.14. 介绍如何用C语言来模拟我们经常使用的Ping功能.15. 这是一个arm做的无线收发的程序.可以实现无线数据采集系统。代替了有线传输。而且传输速率高。.16. 这是本人写的HT1621显示驱动!是用PICC语言写的.17. MiniGUI 编程指南-V1.3-C,详细描述了MiniGUI开发嵌入式图形界面的基础知识.18. 基于ARM嵌入式系统的通用bootloader的设计与实现.19. 能够知道嵌入式系统设计的整个流程和开发思路。.20. CH375作为usb从设备时的win驱动程序.21. CH375作为usb从设备时的例子程序和调试工具 vb.vc.22. bootsplash.23. 21IC ebook系列之《嵌入式实时操作系统教材》.24. 超轻量级TCP/IP协议栈源代码.25. 一个Flash下载工具.26. USB的驱动程序源码.27. 该压缩包里包含了51单片机syncoms的ISP源程序代码。.28. 收集的文章;基本的嵌入式实时软件的概念;不过是英文的;还有一些.29. 收集的一些嵌入式文章及应用文档!用winrar解开即可!.30. 以一种4级灰度的液晶为例.31. ARM公司中国区的牛人费浙平关于ARM学习资源的演讲.32. 一个可以在三星S3C44B0上运行的uc/os‖代码。.33. matlab 音乐分析 学习matlab的gui功能 帮助学习matlab 信号处理 音乐分析.34. C51汉字解决方案.35. 实现HY29V160FLASH的操作源代码.36. 三星数字高频头的驱动源代码.37. DVB数字机顶盒中CA的通用接口源代码和通信数据格式分析。采用C编写.38. 在S3C44B0嵌入式系统中鼠标的接口源代码.39. 改程序实现了pic单片机的中断。可作为pic单片机初学者阅读.40. 我是做单片机的.

    标签: 美制 尺寸 螺纹

    上传时间: 2013-06-28

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(38)

    VIP专区-嵌入式/单片机编程源码精选合集系列(38)资源包含以下内容:1. 看看名字就应该知道是干什么用的了吧! 这可是个好东东!我搜索了很久才找到的! 独乐乐.2. 51单片机c语言中断的实现 还有很多例子可以参考。包括常用的中断模块 c语言单片机开发很有用.3. 这是利用C51语言编写的读写AT24C512的程序.4. 这是利用CYPRESS CY7C63723汇编语言编写的读写AT24C512的程序.5. 这个是我参考过的多级菜单.6. 可以用H.264编码解码器源码(c语言).7. Application of Bootstrap Loader in MSP430 With Flash Hardware and Software Proposal.8. Features of the MSP430 Bootstrap Loader.9. Implementing a Real-Time Clock on the MSP430.10. Experiments for the MSP430 Starter Kit.11. Solid State Voice Recorder Using Flash MSP430.12. Application of Bootstrap Loader in MSP430 With Flash Hardware and Software Proposal.13. Interfacing the DAC8574 to the MSP430F449.14. Interfacing the MSP430 and TMP100 Temperature Sensor.15. Ultrasonic Distance Measurement With the MSP430.16. Implementing a Direct Thermocouple Interface With the MSP430x4xx and ADS1240.17. 24点汉字的C++实现代码.18. TC与BC++用户界面程序设计 《Turbo CBorland C++用户界面程序设计》(西安交通大学出版社 周升锋 李立新 等著)的随书软盘.19. 用VB通过并口控制I2C总线.20. pcb封装详解.21. uClinux 下MicroWindows开发的电机控制平台软件和模拟示波器的双路数据采集系统源码.22. 51单片机PID计算程序.23. 详细的介绍,以及其中库函数的描述说明,用法等.24. 日本人设计的电子热水瓶的全部嵌入式源码,很好的实例.25. 一个极好的192*64LCD的C源代码!.26. 51单片机.27. 自启动vxworks---一个自启动vx程序的开发文档 vx编程指南----vx的一些基本编程介绍和操作手册 tornado ---- tornado使用手册 linux内核分析 ----- l.28. EasyARM 程序 RTC_TEST.29. EasyARM 程序 uart_TEST.30. EasyARM 程序 SPI_TEST.31. EasyARM 程序 I2C_TEST.32. 一个resist的程序.33. 在nios环境下的LCD的包括测试.34. nios 环境下的软件编程.35. nios 的开发程序.36. 单片机与pc机.37. uIP0.9版本.38. lwip1.0.0协议栈tcpip.39. lwip在ucos上的移植.40. 网友张巍提供的tcpip协议栈,是一个成功稳定的以太网接口上的TCP/IP协议程序。里面包括有说明.

    标签: 光盘 机械行业 标准

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(39)

    VIP专区-嵌入式/单片机编程源码精选合集系列(39)资源包含以下内容:1. 这是有关SPI总线的一段读写程序.2. 这是一段MAX7219的驱动C51源程序.3. 利用msp430的通用I/O端口模拟I2c协议的源代码.4. 超级下载软件(progisp ver1.1)包括并口下载器与usb isp下载器的详细制作资料.5. MCS51产单片机上实现的tcp/ip,很全的哦,需要的可以参考一下..6. 嵌入式系统图形用户界面编程.7. 05嵌入式大会的部分演讲稿.8. 51系列对CH375模块读写.9. test for boundary scan and CPLD ics..10. 这是我个人再学习ARM7s3c2410的 时候用到的试验代码.11. 本人水品有限.12. 是在不好意思.13. 入门试验代码.14. pxros的使用说明.15. 在NIOS中利用C语言模拟I2C总线时序.16. ALTERA NIOS处理器实验.17. ALTERA NIOS处理器实验.18. ALTERA NIOS处理器实验.19. ALTERA NIOS处理器实验.20. ALTERA NIOS处理器实验.21. 随着高性能计算的需求.22. ADS1.2是一个使用方便的集成开发环境.23. AVR单片机嵌入式操作系统原代码.24. 有关rtos的书.25. 重要的汇编语言编程......和大家一起分享.26. AT91M55800A材料-BasicTimer.27. I2c代码.28. WINDOWS系统下灰度的BMP图片转换成黑白图片..29. 在WINDOWS CE.NET 系统中读RDP连接的用户名的密码..30. 嵌入式实时操作系统μCOS-在ARM上的移植应用.31. 在s3c44b0x运行的俄罗斯方块游戏 DOS参考代码.32. uCOS-II在C51下的一个完整的LCD项目源码.33. 石子归并问题:在一个圆形操场的四周摆放着N堆石子(N<= 100),现要将石子有次序地合并成一堆.规定每次只能选取相邻的两堆合并成新的一堆,并将新的一堆的石子数,记为该次合并的得分.编一程序,由.34. 单片机及嵌入式系统web实现的文章 很好的:MCU应用系统与Internet连接的一种新技术.35. 单片机发展趋势的文章: 从Cygnal C8051F看8位单片机发展之路 好.36. usb host在ARM7上的实现.37. 对arm300的一些简单的试验做了详细地说明和讲解.38. arm技术手册.39. arm7上开发usb的文档,说得非常好.40. 一个关于s1d13806的应用程序.

    标签: 机构 机械手

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(40)

    VIP专区-嵌入式/单片机编程源码精选合集系列(40)资源包含以下内容:1. epson公司的一个关于s1d13706的低层驱动程序.2. ads1.2的一个工程.3. 一些FPGA的扩展电路原理图.4. 一些DSP的c语言源程序.5. 凌阳单片机声控小车源码,对于想开发声控小车的人来说,是相当有用的.6. ATMEL的下载线制作和下载软件,有需要的可以来看.7. 一个比较老的C语言编译器,WAVE的老板本仿真器只能用他.8. LM8361、TMS3450、TMS1943数字钟电路.9. 该程序代码实现对Flash Rom AM29LV160烧写数据的功能.10. 嵌入PIC16F77的激光传感器程序RS485输出.11. PIC16F77单片机I2C读写程序.12. 这是家用摄像头的源程序.13. lwIP is a small independent implementation of the TCP/IP protocol suite that has been developed by.14. 详细的MiniGUI源代码.15. 日本的嵌入式实时操作系统UITRON3.0 该系统以得到几十亿片的应用业绩.16. IEC61131-3标准说明.17. 一线通讯协议的c51例子程序源代码.18. C字符串底层函数的实现。对一些想了解C的低层函数的人很有帮助.19. 使用vhdl语言编写的交通灯控制程序.20. 使用C语言编写的单片机控制LCD显示程序.21. 此程序是一底层开发驱动代码,里面包括了I2C芯片(MAX5417)及1 wire sensor、AD驱动的源代码!稍作改动就能用于自己的产品开发中!.22. 这是利用Mifare SDK开发的读写基于ISO14443标准RF卡的程序。.23. 这是用于Mifare卡开发的programmer reference和DLL文件.24. C51单片机用C语言编写的SPI例程.25. CPLD7256的例子程序.26. SUMSUNG S3C44B0X ARM7的一个RTC实时时钟的显示和修改的例程.27. 哈工大智能电动车软件的源代码.28. 这是有关单总线数字温度芯片DS18B20的keilC和ASM汇编的混合编程.29. 这是一个在51单片机上用汇编实现的除法程序。.30. Chapter 5 "Construction case with USB host system that handles USB controller SL811" sample progra.31. "TCP/IP + Ethernet network equipment design method" sample program.32. JAPAN "RTOS technology that learns with TOPPERS".33. JAPAN RTOS TOPPERS/JSP kernel 1.4 PreRelease simulation environment JSP kernel Windows simulation.34. 键盘扫描程序.35. pcb EMI 资料很好 是英文资料.36. PCB EMI 的防护的资料是英文的.37. pcb EMI 的防护的资料.38. pcb EMI 的防护的资料.39. pcb EMI 的防护的资料.40. pcb EMI 的防护的资料.

    标签: 液压系统 模拟机

    上传时间: 2013-06-12

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(41)

    VIP专区-嵌入式/单片机编程源码精选合集系列(41)资源包含以下内容:1. pcb EMI 的防护的资料.2. pcb EMI 的防护的资料.3. pcb EMI 的防护的资料.4. pcb EMI 的防护的资料.5. pcb EMI 的防护的资料.6. 引入事件驱动观念的抢占式多任务微型实时内核——MicroStar的设计与实现;提出基于事件的优先级这一新概念。.7. uC_OS2.52官方源代码 新人报道~~ 不知道能不能满足老大的要求.8. 本文章并不是程序.9. 日本著名的的嵌入式实时操作系统T-Kernel的源码及用户手册。.10. 利用单片机控制电流大小.11. 初学单片机.12. 双口RAM硬件和软件可靠性握手的实现 双口RAM硬件和软件可靠性握手的实现.13. 是本人的大学接口课程设计.14. Modbus通讯协议详解.15. C8051F单片机ADC0转换,大家可以学习参看!.16. s51系列芯片下载软件,可以在线烧写flash芯片.17. 基于SPCE061A的MiniOS源代码..18. This the completed iic communication program for LPC2200!.19. UART communication program for lpc.20. SPeex语音压缩算法的静态连接库和源代码.21. 基于AT89C2051和ISD2560的录放音系统设计 基于AT89C2051和ISD2560的录放音系统设计.22. PCF8563时钟芯片的C语言应用事例.23. 4X4键盘扫描程序.24. NOKIA手机液晶屏I3510的应用例程.25. AVR单片机内部EEPROM的编程方法。.26. DS18B20的操作读写源程序(7.3728MHZ晶震).27. 用AVR单片机做的LC测量仪。(ATMEGA8+GCC).28. M32+LCM12864源代码及相关资料.29. 使用3310液晶的数字频率计(AVR)..30. 用mega8模拟usb做下载线(AVR).31. 7920两行中文液晶显示屏的源程序及电路图 (ICC).32. LCD1602四线接口应用范例(AVR_GCC).33. s3c44b0x上实现的ucos+tftp.34. 周立功ARM开发板LPC2104 移植的PC服务代码.35. 周立功ARM开发板LPC2104 ZLG_CF驱动.36. 周立功ARM开发板LPC2104 ZLF_IP驱动.37. 周立功ARM开发板LPC2104 TCP/IP协议应用.38. 传感器中文手册.39. 基于F020单片机的应用程序.40. keil c 破解.

    标签: 固件

    上传时间: 2013-05-29

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(43)

    VIP专区-嵌入式/单片机编程源码精选合集系列(43)资源包含以下内容:1. 单片机利用霍尔元件册电机速度的c语言程序.2. ARM Image for uCOSII for lpc2131工程模板.3. 汉字库的实现 一.获取字库.exe 可以获得汉字库及英文字库 二.查看字库.exe 可以查看汉字库及英文字库的点阵显示效果 三.显示程序.h 获取字库点阵及显示的源代码 四.其它资料 相关的字.4. 2410上的I/O控制数码管的应用程序.5. NORTi3 is a realtime multitasking operating system conforming to the micro-ITRON 3.0 specification..6. ADE7758三相电能芯片读写源程序.7. AT91RM9200PQ208电路图.8. 嵌入式系统驱动开发.9. 嵌入式系统驱动程序.10. 数控电流源61控制程序 包含zlg7289的61控制程序.11. Open Jtag小组的产品。有了它.12. flash读写原代码.13. 设计一个可容纳四组参赛的数字式抢答器.14. ps2键盘的设计.15. 简明扼要地介绍了uIP TCP/IP协议堆栈的eCOG1端口。文中还描述了一 个应用于简单的web网页服务器的实例。 开放源uIP软件包为在不牺牲互操作性或RFC标准的条件下.16. 5单片机1实用系统.17. 此文档为采用FPGA实现的以太网MAC层.18. 一个用新方法实现的堆管理器.19. Xinx ISE 官方源代码盘第二章.20. Xilinx ISE 官方源代码盘 2.21. Xilinx Ise 官方源代码盘 第四章.22. Xilinx ISE 官方源代码盘 第五章.23. Xilinx ISE官方源代码盘 第六章.24. Epson 清零程序大全.25. Xilinx ISE 官方源代码盘第七章 Part1.26. Xilinx ISE 官方源代码盘第七章 Part 2.27. Xilinx ISE 官方源代码盘第八章.28. Xilinx ISE 官方源代码盘第九章.29. Xilinx ISE 官方源代码盘第十章.30. 是HD61202液晶显示汉字的完整程序代码.31. keil c 编写的tea5767HL 的程序代码.32. 这是关于vc5416dsk的文档其中有其原理图 为学vc54xdsp的好的参考资料.33. 这是关于PDIUSBD12usb接口开发的资料.34. 此为tidsp(vc54x)对语音音频采集压缩编码解码设计原理图及pcb图,非常具有参考价值.35. 心电采集设计,有源码,很不错,用MSP430做的,有用的可以下来.36. 改进版的红外遥控器解码程序 抗干扰能力非常强 至今未出现误触发.37. I2C总线LINUX驱动程序.38. CyPress的C8051F32X系列底层驱动(C语言)及上位机demo(vc环境).39. 微机接口实验程序 。。 。。 。。 。。 。。 。。 。。.40. 前有人传的imagewrite中少了文件ecc.c,根本不能编译成功,见图err,现把完整文件改名了上传.

    标签: 齿轮 几何

    上传时间: 2013-06-12

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(46)

    VIP专区-嵌入式/单片机编程源码精选合集系列(46)资源包含以下内容:1. 此代码是关于UC/OS移植到KEILC51的具体实现,希望给正在学习的朋友一点参考.2. 51定时器应用程序 适当修改可用于定时控制应用.3. C语言嵌入式系统编程修炼之道,很不错的关于如何用c语言开发嵌入式系统,值得一看,受益非浅.4. AT91SAM7s64调试笔记包括各种外围设备的环节.5. 51的一个DEMO,文件的内容包含原理图.6. LCD TV,uVision2 Project, (C) Keil Software.7. 一些ARM芯片的映射文件.8. 嵌入式liunux系统中的串口测试程序.9. 一个tcp/ip协议栈,带有PPP、IP、TCP、UDP等协议.10. java编写的记事本程序.11. splc501程序,有所需要的驱动程序和相应的演示程序.12. 44b0x测试程序.13. PCB设计问题集.14. 2410处理器bootloader,的初试化配置和 系统调用的寄存器配置函数.15. NAND FLASH通用的读写.16. Norflash通用的读写.17. 飞利浦公司的LPC935单片机复位程序的源码.18. philips的MPEG2编码芯片SAA6752的驱动程序源码.19. 这也是PHILIPS系列A/D解码芯片SAA7115的驱动程序源码.20. UCOSII下的DA实验,实验板为S3C44B0.21. UCOSII下的键盘实验.实验板子为S3C44B0.22. 数字系统高层次综合讲座的讲义.23. VLSI系统设计.24. i2c源码vhdl语言编写.25. 6502汇编 算术运算库 8bit乘以8bit.asm 8bit除以8bit.asm 16bit乘以16bit.asm.26. 串口通讯.27. 详细介绍了关于CF卡的存储结构.28. 有关HART通讯命令协议和使用说明.29. MC141585编程应用.30. 调协器读写程序.31. uCOS-II下的timer使用实例.高效的编程风格,很值得参考.32. 包括了ucosII在多个操作系统上的移植实例.33. 基于单片机实验装置的A/DD/A实验 实验台能够实现交通灯打印等.34. 多功能时钟.35. dds信号发生器.36. STC-Download/STC-ISP下载工具(STC89C51单片机在线下载器) 必须组件: SPComm(必须), VCLSkin(可以去掉) -----------------------.37. 好像没结果!液晶控制.38. 用51单片机实现的在屏幕上显视文字,外接一颗MT230OSDIC.39. PIC单片机上用C语言写的TW88TFT屏控制程序,有注释.40. 在51单片机上用C写的T100,T101TFT屏的控制程序,有OSD.

    标签: 机构 结构设计

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(52)

    VIP专区-嵌入式/单片机编程源码精选合集系列(52)资源包含以下内容:1. C8051F020的SED1335驱动程序.2. AD7714 AD转换keil C51 源代码.3. C8051F020 SMB 总线驱动源代码.4. 温度传感器18B20的keil C51驱动程序.5. C8051F020读写24C256的keil c51程序.6. 51+sl811读写U盘的源程序+原理图.7. 基于CPLD的二进制码转换为二十进制(BCD)码的电路[1].8. 从大量的wince源代码中剥离出的fat文件系统源代码。移植性非常高。 微软的代码.9. 凌阳MINI OS 实例.10. threadx操作系统源代码之.11. threadx操作系统源代码之.12. threadx操作系统源代码之.13. threadx操作系统源代码之.14. 免费的tcp ip 协议的pcb sch.15. windows mobile pocket pc移动开发源代码书籍.16. 附件程序是我用DSP2812开发的无刷直流电机控制程序.17. 步进电机控制系统.18. 多数位分频器.............................................可直接编译.19. 自己写的c语言版的软件实现cpu的pipeline功能的程序。对于学习体系结构的同仁有好处。.20. 一个多级菜单的例子.21. vhdl语言.22. 倒车雷达源代码.23. 一个很有用的USB开发的简单例子.24. 常用的窜口调试器.25. 用MAX6959实现的键盘以及数码显示原代码.26. 基于ARM的生物识别系统.27. 51的IO口模拟UARTRS232 波特率9600 Fosc:22.1184MHz 已在keilc51调试通过.28. 数控恒流源电路原理图 是03年全国大学生电子大塞提.29. 图书馆管理系统..大家多点给我鼓励给我支持哦...我会多点上载代码到这里的..30. 掏美元培训得来的某外国公司推广keil ARM 和LPC2100的源码。.31. BT878 图象显示 VxWorks 驱动程序.32. 我自己用的MSP430的JTAG。包括原理图和PCB版图!AD6软件打开。.33. 书名“全能混合电路仿真 OrCAD PSpice A-D V9”.34. S3530a和C8051f020的通信测试程序!.35. 本文介绍了在基于ARM7的嵌入式系统上开发 USB接口的详细内容。.36. ucos移植到m64.37. AVRcamVIEW/jre/bin/java -jar /opt/AVRcamVIEW/lib/AVRcamVIEW.jar.38. 最新ZigBee1.1协议规范.比ZigBee0.8有所改进..39. 关于zigbee的一篇论文,国外的,写的相当不错,推荐同行的.40. PID是比例、积分、微分三个词语的英文缩写.

    标签: 汽车维修 培训教材 电工

    上传时间: 2013-05-29

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(54)

    VIP专区-嵌入式/单片机编程源码精选合集系列(54)资源包含以下内容:1. D12Smart pdiusbd12的固件程序 win98版.2. D12Smart pdiusbd12的固件程序 winXP版.3. 基于S3C44B0X的256色LCD测试软件。ADS1.2调试通过。.4. S3C44B0X在ADS1。2环境下的工程模板。设置好了用关参数。打开就可直接添加代码编译。.5. S3C44B0X在ADS1。2环境下的文件系统的读写方法(FAT16)。已编译通过。.6. 在S3C44B0X依植的多任务UCOD-II操作系统的范例源程序。.7. 介绍了ZigBee技术的概念.8. 介绍了ZigBee技术的基本知识.9. 介绍了IEEE 802.154协议标准.10. 最新lwip1。2。0版本。。。。大家快来下。。。。Lwip协议栈的设计与实现 LWIP是TCP/IP协议栈的一种实现。LWIP的主要目的是减少存储器利用量和代码尺寸.11. 广泛使用的液晶屏ocmj4x8c的驱动.12. 在lpc3132上使用ocmj4x8 液晶屏的例子.13. 基于ARM9 S3C2410 定时器的实验程序原代码.14. Ti tas1020B芯片的开发固件.15. LPC214x SPI例程;飞利浦的ARM.16. LPCARM的工程模版.17. at91rm9200 i2c测试程序 ads调试.18. 这是电能芯片ATT7026的读写函数.19. 这是时钟芯片时SD2304的底层访问函数。希望大家能有用。.20. EasyARM程序程序EasyARM Gpio_TEST.21. SCI_UART_SPI_CAN_LIN等等串行总线的总体描述.22. 开发工具:ads1.2 主要IC:MCU:lpc2210(NXP) LCD驱动及控制IC:s6d012(samsung) 用途:lcd驱动开发入门.23. 开发工具:keil7 主要IC:at89c51sdnd1(atmel) 说明:mp3播放器详细设计方案.24. 开发工具:iar for c51 主要IC:tusb2136(ti)(8052内核) 主要应用:PC外接usb键盘.25. USB大量数据收发PC软件.26. 这个程序是用于数字信号处理dsp 642的物理层通讯的程序。.27. ARM开发板详细原理图.28. NIOS的CF卡应用,包括了软件和硬件,支持多个系列的PFGA.29. P1口八路LED的驱动程序.30. ds18b20-asm.31. i2c的c语言程序,包括读写一个字节,读写n个字节的子程序.32. verilog程序.33. verilog实现电子时钟模块.34. verilog实现.35. verilog实现.36. I2C总线verilog实现源码.37. proteus 实例这是结合c51编程的例子.38. 用BC++编写的带PIC-SERVO CMC运动控制器的3轴钻床控制器和G代码解释程序..39. this file for bank in keil.40. this file is for keil keyboard.

    标签: 五金手册 计算器 绿色软件

    上传时间: 2013-05-26

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(63)

    VIP专区-嵌入式/单片机编程源码精选合集系列(63)资源包含以下内容:1. zlg7289a驱动程序 包括头文件和主文件 汇编和C语言齐全.2. vc++ 开放的串口通讯程序.3. 电子万年历制作的全部资料,可用单面板制作,顶层线比较少可用跳线,内用源码+原理图+PCB,可以显示到2050年的阴阳历,只须调整阳历..4. i2c协议实现.5. 优龙PAX255开发板所带AC97声卡的测试程序源码.6. 周立功的USB大容量存储开发板带CPLD的代码D的源码.7. wangxiaoyong0015@yahoo.com.cn b不懂的给我发邮件!!! 谢谢啊!!一定支持我.8. 用VHDL实现的DDS.9. uclinux移植过程中.10. viterbi decoder , use verilog HDL language..11. 三星ARM试验箱.12. USB JTAG 卡. 允许从主机USB口直接控制JTAG I/O 信号。 USB端与Altera USB-Blaster使用相同的协议。主机端与openwince, OpenOCD和Altera的.13. 许多非常有用的 Verilog 实例: ADC, FIFO, ADDER, MULTIPLIER 等.14. LPC2214开发原理图,绝好!!!!!!!!!! LPC2214开发原理图,绝好.15. CPLD开发电缆原理图,绝好的东东!!! CPLD开发电缆原理图,绝好的.16. 语音评分算法的实现,主要可以实现对一段语音信号进行判别并进行打分功能..17. lpc2132开发板的原理图,适合初学者学习用.18. 用ICC写的ATMega8的4X4键盘驱动程序.19. FPGA-CPLD_DesignTool,事例程序陆续上传请需要的朋友下载.20. I2C编译通过...大家下去直接用.支持程序员联合开发网.21. 步进电机控制实验.22. MagicARM2410与PC机串口通信实验.23. CanBus通信实验.24. 这是个C的一个程序.25. 这是个嵌入式程序.26. 一个MSComm控件的收发程序.27. 包括TI全系列DSK原理图汇总.28. 周立功公司的USB2.0芯片ISP1581的键盘上位机VC编的源程序.29. 这是一个学习proteus很好的资料。希望对大家的学习很有帮助.30. 本代码内容是关于带遥控器控制的LCD显示的实时时钟。.31. 本代码是关于用INT0中断实现按键计数.32. 本代码是关于循环灯的代码.33. 本代码是关于符点数在数码管上显示的.34. 本代码是关于AT24C02串行存储器的读写的.35. 一种使用可控硅控制.36. 这是运动控制课程设计时自己设计的电路原理图.37. vxworks tffs mtd 层源码,支持非INTEL格式.38. 自己看吧 eerom的.39. DOS下的TCP/IP源代码,可以做参考..40. 基于FPGA的SD控制器实现.目前实现读操作功能,可作参考..

    标签: 五金手册

    上传时间: 2013-06-01

    上传用户:eeworm