虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

PWM控制

  • 用8253和L6203实现直流电机PWM调速控制

    ·摘 要:为了实现对直流电机转速的控制,采用了PWM脉宽调制的电机控制思想,在PWM信号的产生上,设计了一种由8253(可编程定时/计数器)的工作方式2来产生脉宽调制信号的新方法,此脉冲信号的占空比可以通过软件编程的方法来调节,占空比的调节范围可达到1/65536—65535/65536;针对直流电机方向控制的问题,采用了L6203全桥驱动芯片,通过PWM信号和L6203芯片共同实现对直流电机转速及

    标签: L6203 8253 PWM 直流电机

    上传时间: 2013-07-23

    上传用户:四只眼

  • 为LED驱动电路提供PWM亮度控制

    为LED驱动电路提供额外的PWM亮度控制

    标签: LED PWM 驱动电路 亮度控制

    上传时间: 2013-08-02

    上传用户:Neal917

  • 51单片机控制舵机PWM程序

    通过51单片机p0口输出PWM波控制舵机,中断产生。通过按键调节占空比,实现舵机转动。

    标签: PWM 51单片机 控制 舵机

    上传时间: 2013-04-24

    上传用户:F0717007

  • 三相电压源型PWM整流器的DSP控制

    ·摘要:描述了三相电压源型PWM整流器的工作原理,基于整流器网侧电流矢量推导出同步旋转坐标系下系统的数学模型,给出了一种电流前馈解耦控制算法。同时详细介绍了基于电流前馈解耦的PWM整流器双环控制系统设计方法。并且应用TMS320LF2407A建立了PWM整流器的DSP数字化实验系统。实验结果表明,该整流器能获得单位功率因数的正弦输入电流、稳定的直流输出电压和快速的动态响应。

    标签: PWM DSP 三相 电压源

    上传时间: 2013-06-03

    上传用户:zsjinju

  • cpld的PWM输出控制

    cpld的PWM输出控制,初学cpld良好例程

    标签: cpld PWM 输出 控制

    上传时间: 2013-08-13

    上传用户:qwe1234

  • 用Verilog语言编写的FPGA控制PWM的程序

    用Verilog语言编写的FPGA控制PWM的程序.利用码盘脉冲进行调速,进行过简单试验,可用.没有经过长期验证.做简单修改即可应用!

    标签: Verilog FPGA PWM 语言

    上传时间: 2013-08-16

    上传用户:梧桐

  • PWM整流器及其控制策略的研究

    PWM整流器及其控制策略的研究

    标签: PWM 整流器 控制策略

    上传时间: 2013-10-15

    上传用户:kachleen

  • 五种PWM反馈控制模式研究

    五种PWM反馈控制模式研究

    标签: PWM 反馈控制 模式

    上传时间: 2013-10-14

    上传用户:Amygdala

  • SM8013C电流模式的PWM离线式控制芯片

    钲铭科SM8013C是一款电流模式的PWM离线式控制芯片,直接驱动外部高压MOS管。采用自适应多模式工作方式,根据负载情况,自动切换到Burst模式,PFM模式,或者PWM模式,满足系统的低待机功耗(<0.3W,265V AC),高转换效率的要求。内部集成多种保护功能,如过流保护、过载保护、VDD过压保护和VDD欠压保护等多种保护。封装形式:DIP8、SOP8、SOT23-6

    标签: 8013C 8013 PWM SM

    上传时间: 2013-12-08

    上传用户:dyctj

  • 固定开关频率三电平PWM整流器直接功率控制

    提出了一种固定开关频率的三电平PWM整流器的直接功率控制方法。该方法基于空间电压矢量调制,实现了动态过程中有功功率和无功功率的解耦控制。

    标签: PWM 开关频率 三电平 功率控制

    上传时间: 2013-11-07

    上传用户:lml1234lml