虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

PWM实验

  • 如何用ST7 PWM ST7 BRM产生模拟信号输出(50Hz正弦波)

    The purpose of this note is to present how to use the ST7 PWM/BRM for the generation of a50Hz si

    标签: ST7 PWM BRM 50

    上传时间: 2013-06-01

    上传用户:huyanju

  • multisim 模拟电子技术实验

    模拟电子技术相关实验的multisim 2001仿真

    标签: multisim 模拟电子技术 实验

    上传时间: 2013-05-22

    上传用户:zhangjinzj

  • 基于FPGA的PWM发生器的研究与设计

    PWM(脉冲宽度调制)是一种利用数字信号来控制模拟电路的控制技术,广泛应用于电源、电机、伺服系统、通信系统、电子控制器、功率控制等电力电子设备。PWM技术在逆变电路中的应用最为广泛,也是变频技术的核心,同时在机床,液压位置控制系统等机械装置中也发挥着重要的作用。PWM技术已经成为控制领域的一个热点,因此研究PWM发生器对于基础理论的发展和技术的改进都有十分重要的意义。 论文研究的主要内容是用任意波形作为调制信号通过特定的方法来产生所需要的PWM波形,任意波形的合成和PWM波形的生成是两个主要任务。任意波形的合成是课题设计的一个难点,也是影响系统性能的关键因素之一。论文中波形合成采用直接数字频率合成(DDS)技术来实现。DDS技术以相位为地址,通过查找离散幅度数据进行波形合成,具有输出波形相位变化连续、分辨率高、频率转换速率快的优点,而且通过设置控制字可灵活方便地改变输出频率,是目前波形合成的主流方法。 实现PWM发生器的设计方法有多种。在综合比较了单片机、DSP、ARM等常用开发工具特点的基础上,本文提出了一种以可编程逻辑器件(PLD)为主体,单片机辅助配合的设计方法。随着计算机技术和微电了技术的迅速发展,可编程逻辑器件的集成度和容量越来越大,基于PLD的设计方法正逐步成为一种主流于段,是近些年来电子系统设计的一个热点。整个系统分为模拟波形产生、单片机控制电路、FPGA内部功能模块三大部分。FPGA部分的设计是以Altera公司的Quartus Ⅱ软件为开发平台,采用VHDL语言为主要输入手段来完成内部各功能模块的设计输入、编译、仿真等调试工作,目标载体选用性价比比较高的Altera公司的CycloneⅡ系列的器件;单片机控制电路主要负责控制字的设置和显示,波形数据的接受与发送;用MATLAB软件完成仟意波形的绘制和模拟任务。 论文共分五章,详细介绍了课题的背景、PWM发生器的发展和应用以及选题的目的和意义等,论述了系统设计方案的可行性,对外围电路和FPAG内部功能模块的设计方法进行了具体说明,并对仿真结果、系统的性能、存在的问题和改进方法等进行了分析和阐述。整个设计满足PWM发生器的任务和功能要求,设计方法可行。

    标签: FPGA PWM 发生器

    上传时间: 2013-06-03

    上传用户:a155166

  • 基于FPGA的PWM整流控制器研究

    随着电力电子变流技术的不断发展,各种先进的控制技术层出不穷。控制器也从过去的模拟电路时代逐渐进入到全数字控制时代。但是MCU/DSP等通用控制器本身串行程序流工作模式的限制,在实现复杂算法时往往难以满足系统要求的快速性与实时性的要求,FPGA的出现为解决这个问题提供了一个新的方向。 本文首先对三相PWM整流器系统进行了研究。在查阅大量国内外文献资料的基础上,对整流器及其控制器的国内外发展现状及研究趋势做了详细的研究,并对课题研究的意义有了更深入的认识。接下来对三相电压型整流器的拓扑结构、数学模型、整流器的控制技术进行了分析。文中所采用的滞环电流控制算法具有结构简单,电流响应速度快,不依赖系统参数,系统鲁棒性好的特点。运用matlab仿真软件,对该控制方法进行了仿真。然后对FPGA的发展历程、应用、分类、开发工具、语言等内容进行了介绍。最后对滞环控制算法进行了模块划分,将其划分为PI算法模块,限幅与指令电流生成模块,滞环比较模块,PWM脉冲生成及死区保护模块,AD控制及数据储存模块,并在Quartus II软件环境下,使用VHDL语言通过编程实现模块化设计。实践证明,采用FPGA来实现PWM整流器控制算法是可行的。

    标签: FPGA PWM 整流 控制器

    上传时间: 2013-04-24

    上传用户:Ruzzcoy

  • 基于FPGA的高频数字DCDC变换器研究

    在传统的电力电子电路中,DC/DC变换器通常采用模拟电路实现电压或电流的控制。数字控制与模拟控制相比,有着显著的优点,数字控制可以实现复杂的控制策略,同时大大提高系统的可靠性和灵活性,并易于实现系统的智能化。但目前数字控制基本上限于电力传动领域,DC/DC变换器由于其开关频率较高,一般其外围功能由DSP或微处理器完成,而控制的核心,如PWM发生等大多采用专用控制芯片实现。FPGA由于其快速性、灵活性及保密性等优点,近年来在数字控制领域受到越来越多的关注。基于FPGA的DC/DC变换器是电力电子领域重要的研究方向之一。本文研究了同步Buck变换器的建模、设计及仿真,采用Xinlix的VIRTEX-Ⅱ PRO FPGA开发板实现了Buck变换器的全数字控制。 论文首先从Buck变换器的理论分析入手,根据它的物理特性,研究了该变换器的状态空间平均模型和小信号分析。为了获得高性能的开关电源,提出并分析了混杂模型设计方案,然后进行了控制器设计。并采用MATLAB/SIMULINK建立了同步Buck电路的仿真模型,并进行仿真研究。浮点仿真的运算精度与溢出问题,影响了仿真的精度。为了克服这些不足,作者采用了定点仿真方法,得到了满意的仿真结果。论文还着重论述了开关电源的数字控制器部分,数字控制器一般由三个主要功能模块组成:模数转换器、数字脉宽调制器(Digital PulseWidth Modulation:DPWM)和数字补偿器。文中重点研究了DPWM和数字补偿器,阐述了目前高频数字控制变换器中存在的主要问题,特别是高频状态下DPWM分辨率较低,影响控制精度,甚至引起极限环(Limit Cycling)现象,对DPWM分辨率的提高与系统硬件工作频率之间的矛盾、DPWM分辨率与A/D分辨率之间的关系等问题作了全面深入的分析。论文提出了一种新的提高DPWM分辨率的方法,该方法在不提高系统硬件频率的前提下,采用软件使DPWM的分辨率大大提高。作者还设计了两种数字补偿器,并进行了分析比较,选择了合适的补偿算法,达到了改善系统性能的目的。 设计完成后,作者使用ISE 9.1i软件进行了FPGA实现的前、后仿真,验证了所提出理论及控制算法的正确性。作者完成了Buck电路的硬件制作及基于FPGA的软件设计,采用32MHz的硬件晶振实现了11-bit的DPWM分辨率,开关频率达到1MHz,得到了满意的系统性能,论文最后给出了仿真和实验结果。

    标签: FPGA DCDC 高频 数字

    上传时间: 2013-07-23

    上传用户:kristycreasy

  • 基于FPGA的逆变器的研制

    现场可编程门阵列器件(FPGA)是一种新型集成电路,可以将众多的控制功能模块集成为一体,具有集成度高、实用性强、高性价比、便于开发等优点,因而具有广泛的应用前景。单相全桥逆变器是逆变器的一种基本拓扑结构,对它的研究可以为三相逆变器研究提供参考,因此对单相全桥逆变器的分析有着重要的意义。 本文研制了一种基于FPGA的SPWM数字控制器,并将其应用于单相逆变器进行了试验研究。主要研究内容包括:SPWM数字控制系统软件设计以及逆变器硬件电路设计,并对试验中发现的问题进行了深入分析,提出了相应的解决方案和减小波形失真的措施。在硬件设计方面,首先对双极性/单极性正弦脉宽调制技术进行分析,选用适合高频设计的双极性调制。其次,详细分析死区效应,采用通过判断输出电压电流之间的相位角预测桥臂电流极性方向,超前补偿波形失真的方案。最后,采用电压反馈实时检测技术,对PWM进行动态调整。在控制系统软件设计方面,采用FPGA自上而下的设计方法,对其控制系统进行了功能划分,完成了DDS标准正弦波发生器、三角波发生器、SPWM产生器以及加入死区补偿的PWM发生器、电流极性判断(零点判断模块和延时模块)和反馈等模块的设计。针对仿真和实验中的毛刺现象,分析其产生机理,给出常用的解决措施,改进了系统性能。

    标签: FPGA 逆变器

    上传时间: 2013-07-06

    上传用户:66666

  • 计算机组成实验平台的设计与实现

    《计算机组成原理》是计算机系的一门核心课程。但是它涉及的知识面非常广,内容包括中央处理器、指令系统、存储系统、总线和输入输出系统等方面,学生在学习该课程时,普遍觉得内容抽象难于理解。但借助于该计算机组成原理实验系统,学生通过实验环节,可以进一步融会贯通学习内容,掌握计算机各模块的工作原理,相互关系的来龙去脉。 为了增强实验系统的功能,提高系统的灵活性,降低实验成本,我们采用FPGA芯片技术来彻底更新现有的计算器组成原理实验平台。该技术可根据用户要求为芯片加载由VHDL语言所编写出的不同的硬件逻辑,FPGA芯片具有重复编程能力,使得系统内硬件的功能可以像软件一样被编程,这种称为“软”硬件的全新系统设计概念,使实验系统具有极强的灵活性和适应性。它不仅使该系统性能的改进和扩充变得十分简易和方便,而且使学生自己设计不同的实验变为可能。计算机组成原理实验的最终目的是让学生能够设计CPU,但首先,学生必须知道CPU的各个功能部件是如何工作,以及相互之间是如何配合构成CPU的。因此,我们必须先设计出一个教学用的以FPGA芯片为核心的硬件平台,然后在此基础上开发出VHDL部件库及主要逻辑功能,并设计出一套实验。 本文重点研究了基于FPGA芯片的VHDL硬件系统,由于VHDL的高标准化和硬件描述能力,现代CPU的主要功能如计算,存储,I/O操作等均可由VHDL来实现。同时设计实验内容,包括时序电路的组成及控制原理实验、八位运算器的组成及复合运算实验、存储器实验、数据通路实验、浮点运算器实验、多流水线处理器实验等,这些实验形成一个相互关联的系统。每个实验先由教师讲解原理及原理图,学生根据教师提供的原理图,自己用MAX+PLUSII完成电路输入,学生实验实际上是编写VHDL,不需要写得很复杂,只要能调用接口,然后将程序烧入平台,这样既不会让学生花太多的时间在画电路图上,又能让学生更好的理解每个部件的工作原理和工作过程。 论文首先研究分析了FPGA硬件实验平台,即实验系统的硬件组成。系统采用FPGA-XC4010EPC84,62256CPLD以及其他外围芯片(例如74LS244,74LS275)组成。根据不同的实验要求,规划不同实验控制逻辑。用户可选择不同的实验逻辑,通过把实验逻辑下载到FPGA芯片中构成自己的实验平台。 其次,论文详细的阐述了VHDL模块化设计,如何运用VHDL技术来依次实现CPU的各个功能部件。VHDL语言作为一种国际标准化的硬件描述语言,自1987年获得IEEE批准以来,经过了1993年和2001年两次修改,至今已被众多的国际知名电子设计自动化(EDA)工具研发商所采用,并随同EDA设计工具一起广泛地进入了数字系统设计与研发领域,目前已成为电子业界普遍接受的一种硬件设计技术。再次,论文针对实验平台中遇到的较为棘手的多流水线等问题,也进行了深入的阐述和剖析。学生需要什么样的实验条件,实验内容及步骤才能了解当今CPU所采用的核心技术,才能掌握CPU的设计,运行原理。另外,本论文的背景是需要学生熟悉基本的VHDL知识或技能,因为实验是在编写VHDL代码的前提下完成的。 本文在基于实验室的环境下,基本上较为完整的实现了一个基于FPGA的实验平台方案。在此基础上,进行了部分功能的测试和部分性能方面的分析。本论文的研究,为FPGA在实际系统中的应用提供研究思路和参考方案。论文的研究结果将对FPGA与VHDL标准的进一步发展具有重要的理论和现实意义。

    标签: 计算机组成 实验

    上传时间: 2013-04-24

    上传用户:小强mmmm

  • 三相电流型自然采样SPWM整流器

    随着全控型变流技术的不断发展和应用领域的不断拓宽,具有高功率因数的PWM整流器在工业领域中逐渐得到普遍重视。在目前的PWM调制方法中,自然采样SPWM具有控制灵活、输出脉冲波形好、谐波含量低等优点,是一种性能优良的调制方法。传统的基于DSP的SPWM实现方法受DSP本身串行程序流工作模式的限制,是很难实时完成自然采样SPWM的计算的,这在一些特殊的应用领域限制了PWM整流器性能的提高。为此,论文提出了一种基于FPGA的自然采样SPWM实现方法,并在三相电流型整流器样机上进行了实验验证。由于FPGA具有丰富的可编程逻辑资源和I/O口,并且可以采用并行工作方式,因此控制系统具有更快的处理速度、更小的控制延时和更好的实时性,有利于PWM整流器性能的提高。仿真和实验研究都表明本文的设计是正确有效的。

    标签: SPWM 三相 电流型 整流器

    上传时间: 2013-06-16

    上传用户:黑漆漆

  • 基于单片机实现直流电机PWM调速系统

    基于单片机用软件实现直流电机PWM调速系统

    标签: PWM 单片机 直流电机 调速系统

    上传时间: 2013-04-24

    上传用户:小眼睛LSL

  • PWM设计基础

    讲述pwm信号产生电路的基础知识 包括前段低通模拟滤波器

    标签: PWM

    上传时间: 2013-04-24

    上传用户:hustfanenze