虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

PCB高速信号

  • ADI---高速运放PCB布线实践指南

    虽然印制电路板(PCB)布线在高速电路中具有关键的作用,但它往往是电路设计过程的最后几个步骤之一。高速 PCB 布线有很多方面的问题,关于这个题目已有人撰写了大量的文献。本文主要从实践的角度来探讨高速电路的布线问题。主要目的在于帮助新用户当设计高速电路 PCB 布线时对需要考虑的多种不同问题引起注意。另一个目的是为已经有一段时间没接触PCB 布线的客户提供一种复习资料。由于版面有限,本文不可能详细地论述所有的问题,但是我们将讨论对提高电路性能、缩短设计时间、节省修改时间具有最大成效的关键部分。

    标签: ADI PCB 高速运放 布线

    上传时间: 2013-04-24

    上传用户:DanXu

  • 高速并行信号处理板数据接口与控制的FPGA设计

    随着信息社会的发展,人们要处理的各种信息总量变得越来越大,尤其在处理大数据量与实时处理数据方面,对处理设备的要求是非常高的。为满足这些要求,实时快速的各种CPU、处理板应运而生。这类CPU与板卡处理数据速度快,效率高,并且不断的完善与发展。此类板卡要求与外部设备通讯,同时也要进行内部的数据交换,于是板卡的接口设备调试与内部数据交换也成为必须要完成的工作。本文所作的工作正是基于一种高速通用信号处理板的外部接口和内部数据通道的设计。 本文首先介绍了通用信号处理板的应用开发背景,包括此类板卡使用的处理芯片、板上设备、发展概况以及和外部相连的各种总线概况,同时说明了本人所作的主要工作。 其次,介绍了PCI接口的有关规范,给出了通用信号处理板与CPCI的J1口的设计时序;介绍了DDR存储器的概况、电平标准以及功能寄存器,并给出了与DDR.存储器接口的设计时序;介绍了片上主要数据处理器件TS-202的有关概况,设计了板卡与DSP的接口时序。 再次,介绍了Altera公司FPGA的程序设计流程,并使用VHDL语言编程完成各个模块之间的数据传递,并重点介绍了DDR控制核的编写。 再次,介绍了WDM驱动程序的结构,程序设计方法等。 最后,通过从工控机向通用信号处理板写连续递增的数据验证了整个系统已经正常工作。实现了信号处理板内部数据通道设计以及与外部接口的通讯;并且还提到了对此设计以后地完善与发展。 本文所作的工作如下: 1、设计完成了处理板各接口时序,使处理板可以从接口接受/发送数据。 2、完成了FPGA内部的数据通道的设计,使数据可以从CPCI准确的传送到DSP进行处理,并编写了DSP的测试程序。 3、完成了DDR SDRAM控制核的VHDL程序编写。 4、完成了PCI驱动程序的编写。

    标签: FPGA 高速并行 信号处理板 数据接口

    上传时间: 2013-06-30

    上传用户:唐僧他不信佛

  • 利用Cadence ALlegro进行PCB级的信号完整性仿真

    随着信息宽带化和高速化的发展,以前的低速PCB已完全不能满足日益增长信息化发展的需要,而高速PCB的出现将对硬件人员提出更高的要求,仅仅依靠自

    标签: Cadence ALlegro PCB 信号完整性

    上传时间: 2013-05-22

    上传用户:julin2009

  • 基于FPGA控制的高速数据采集系统

    数据采集系统是信号与信息处理系统中不可缺少的重要组成部分,同时也是软件无线电系统中的核心模块,在现代雷达系统以及无线基站系统中的应用越来越广泛。为了能够满足目前对软件无线电接收机自适应性及灵活性的要求,并充分体现在高性能FPGA平台上设计SOC系统的思路,本文提出了由高速高精度A/D转换芯片、高性能FPGA、PCI总线接口、DB25并行接口组成的高速数据采集系统设计方案及实现方法。其中FPGA作为本系统的控制核心和传输桥梁,发挥了极其重要的作用。通过FPGA不仅完成了系统中全部数字电路部分的设计,并且使系统具有了较高的可适应性、可扩展性和可调试性。 在时序数字逻辑设计上,充分利用FPGA中丰富的时序资源,如锁相环PLL、触发器,缓冲器FIFO、计数器等,能够方便的完成对系统输入输出时钟的精确控制以及根据系统需要对各处时序延时进行修正。 在存储器设计上,采用FPGA片内存储器。可根据系统需要随时进行设置,并且能够方便的完成数据格式的合并、拆分以及数据传输率的调整。 在传输接口设计上,采用并行接口和PCI总线接口的两种数据传输模式。通过FPGA中的宏功能模块和IP资源实现了对这两种接口的逻辑控制,可使系统方便的在两种传输模式下进行切换。 在系统工作过程控制上,通过VB程序编写了应用于PC端的上层控制软件。并通过并行接口实现了PC和FPGA之间的交互,从而能够方便的在PC机上完成对系统工作过程的控制和工作模式的选择。 在系统调试方面,充分利用QuartuslI软件中自带的嵌入式逻辑分析仪SignalTaplI,实时准确的验证了在系统整个传输过程中数据的正确性和时序性,并极大的降低了用常规仪器观测FPGA中众多待测引脚的难度。 本文第四章针对FPGA中各功能模块的逻辑设计进行了详细分析,并对每个模块都给出了精确的仿真结果。同时,文中还在其它章节详细介绍了系统的硬件电路设计、并行接口设计、PCI接口设计、PC端控制软件设计以及用于调试过程中的SignalTapⅡ嵌入式逻辑分析仪的使用方法,并且也对系统的仿真结果和测试结果给出了分析及讨论。最后还附上了系统的PCB版图、FPGA逻辑设计图、实物图及注释详细的相关源程序清单。

    标签: FPGA 控制 高速数据 采集系统

    上传时间: 2013-06-09

    上传用户:lh25584

  • 高速PCB设计指南

    详细介绍了高速PCB设计中需要注意的问题以及注意这些问题的原因,对于设计高速PCB有非常大的帮助!

    标签: PCB 设计指南

    上传时间: 2013-04-24

    上传用户:ukuk

  • 高速PCB设计技术(中文).PDF

    高速PCB设计技术(中文).PDF

    标签: PCB 设计技术

    上传时间: 2013-06-28

    上传用户:wangxuan

  • 高速FPGA系统的信号完整性测试和分析,能帮助学习FPGA

    高速FPGA系统的信号完整性测试和分析,能帮助学习FPGA

    标签: FPGA 信号完整性 测试

    上传时间: 2013-08-05

    上传用户:妄想演绎师

  • 高速FPGA的PCB设计指导.WORD文档格式

    高速FPGA的PCB设计指导.WORD文档格式

    标签: FPGA WORD PCB 设计指导

    上传时间: 2013-08-13

    上传用户:sdq_123

  • FPGA在高速数字信号处理系统中的应用

    FPGA在高速数字信号处理系统中的应用.pdf

    标签: FPGA 高速数字信号 处理系统 中的应用

    上传时间: 2013-08-14

    上传用户:yxgi5

  • Mentor Expedtion PCB信号完整性分析入门

      本练习将通过 PCB 布局,布线,信号完整性仿真分析,修改原理图添加器件等一系列的操作,使您熟悉Mentor ISD2004 系列板级仿真设计工具。

    标签: Expedtion Mentor PCB 信号完整性

    上传时间: 2013-11-06

    上传用户:非洲之星