虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

OFDM-<b>cpm</b>

  • 信号的模数转换电路

    [学习要求]掌握A/D转换的基本概念和工作原理,掌握集成A/D转换器ADC0809的基本应用、设计方法与调试技术。[重点与难点]重点:集成A/D转换器的应用及主要性能指标。

    标签: 信号 模数转换电路

    上传时间: 2013-07-12

    上传用户:ninal

  • ofdm

    一个完整的OFDM仿真程序,采用QPSK调制,有信道估计,大家交流下!

    标签: ofdm

    上传时间: 2013-06-05

    上传用户:zq70996813

  • 8255中文资料, 数据手册

    8255内部包括三个并行数据输入/输出端口,两个工作方式控制电路,一个读/写控制逻辑电路和8位总线缓冲器。各部分功能概括如下: (1)端口A、B、CA口:是一个8位数据输

    标签: 8255 数据手册

    上传时间: 2013-05-21

    上传用户:隐界最新

  • 基于ARM的RFID读卡器设计

    射频识别技术(RFID)是一种通过电磁耦合方式工作的无线识别系统,具有保密性强、无接触式信息传递等特点,目前广泛应用于物流、公共交通、门禁控制等与人们生活密切相关的方方面面。 本论文的目的是开发出一款读卡终端设备,支持IS014443标准中规定的TypeA、Type B两种类型的卡,具有高级扩展功能,也可以在硬件基础上进行增减,以适应不同场合的需要。 读卡器设计中采用嵌入式芯片为处理核心,读卡功能采用射频读卡芯片实现。读卡器终端具有网络接口、USB接口和触摸屏接口。软件上采用移植嵌入式系统并添加任务的模式实现读卡器的各功能。通过对软硬件的调试实现了RYID读卡器原理样机的硬件与软件平台构律。

    标签: RFID ARM 读卡器

    上传时间: 2013-06-12

    上传用户:450976175

  • DVBT发射机系统中的OFDM调制FPGA实现

    该项目完成的是DVB-T发射机系统中OFDM调制部分的FPGA设计.DVB-T是ETSI(欧洲电信标准委员会)提出的数字地面电视广播系统标准,在业界影响很广.整个DVB-T发射机系统包括RS编码,内交织,卷积编码,外交织,星座映射,IFFT变换等主要部分.该项目组负责以FPGA为主体的硬件平台的搭建及编码,调制部分的FPGA软件设计,作者完成了2k模式下IFFT变换的软件设计.该文首先介绍了OFDM及DVB-T相关原理,然后比较分析了各种FFT算法及实现结构的复杂度,最后采取了一种Radix2

    标签: DVBT OFDM FPGA 发射机

    上传时间: 2013-05-17

    上传用户:gundamwzc

  • OFDM信道估计模块运算部件的FPGA设计

    正交频分复用(OnIlogonaJ Frequency Division Multiplexing,OFDM)技术通过将整个信道分为多个带宽相等并行传输的子信道,通过将信息经过子信道独立传输来实现通信,子信道的正交性可以保证最大限度的利用频谱资源。OFDM系统通过循环前缀来消除符号间干扰(ISI),通过IDFT/DFT调制解调降低了系统实现的复杂度。由于其频谱利用率高,抗多径能力强,在多种通信场合中都得到了应用。虽然有着上述优点,但为了准确的恢复信号,信道估计是OFDM系统中必须实现的一环。 本文正是针对OFDM接收机中的信道估计模块的运算部件的实现进行了研究。首先,研究了OFDM信道估计的LS算法,一阶线性插值算法,二次多项式插值算法,建立了适用于宽带通信系统的信道估计模块模型。其次研究了加法器电路和乘法器电路的实现,包括进位行波加法器,曼彻斯特进位链,超前进位加法器和乘法原理,阵列乘法器,wallace树乘法器及BOOTH编码算法,并分析了各种电路的特性及优缺点。接着研究了几种主要的除法器设计算法,包括数字循环算法,基于函数迭代的算法,以及CORDIC算法,结合信道估计的特点选择了函数迭代和CORDIC算法作为具体实现的方法。最后,在前面的设计的基础上在FPGA芯片上实现了前面的设计方案。

    标签: OFDM FPGA 信道估计 模块

    上传时间: 2013-06-06

    上传用户:yyyyyyyyyy

  • OFDM基带调制系统在FPGA上的实现

    本文着重研究了OFDM调制解调技术在FPGA上的实现。全文内容安排如下:  第一章介绍了PLD(可编程逻辑器件)和OFDM(正交频分复用)技术的发展历史。  第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。  第三章就OFDM系统中的基本概念进行了详细的阐述。  第四、五章是OFDM算法的在FPGA上的实现,首先对要实现的算法进行分析,给出了需要实现的指标。然后给出了FPGA的实现方案,对系统的进行仿真,给出了仿真波形图和系统性能分析。  第六章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面进行了探讨。

    标签: OFDM FPGA 基带 调制系统

    上传时间: 2013-08-05

    上传用户:跃跃,,

  • OFDM系统中信道均衡的技术研究及基于FPGA的实现

    最新的研究进展是OFDM的出现,并且在2000年出现了第一个采用此技术的无线标准(HYPERLAN-Ⅱ)。由于它与TDMA及CDMA相比能处理更高数据速率,因此可以预想在第四代系统中也将使用此技术。 宽带应用和高速率数据传输是OFDM调制/多址技术通信系统的重要特征之一。作者通过参与国家863计划项目“OFDM通信系统”一年以来的研发工作,对OFDM通信系统及相关技术有了深入的理解,积累了大量实际经验,并在相关工作中取得了部分研究成果。 另一方面,关于宽带自适应均衡技术的研究在近年来也引起了广泛的关注。它是补偿信道畸变的重要的技术之一。作者通过参与该项目FPGA部分的开发与调试工作,基于单片FPGA实现了均衡部分;此外,作者在频域自适应均衡算法方面也取得了一些理论成果。 本文的主体部分就是根据上述工作的内容展开的。 首先介绍了本课题相关技术的发展情况,主要包括:OFDM系统的技术原理、技术优势、历史和现状,均衡技术的特点和发展等。末尾叙述了本课题的来源和研究意义,并简介了作者的主要工作和贡献。确定将WSSUS分布和瑞利衰落作为本文研究的信道模型。主要分析了常用的时域均衡器,均是单载波非扩频数字调制中常用到的均衡器和均衡算法,为接下来的进一步研究作理论参考。 接着,论述了均衡必须用到的信道估计技术。重点就该方案的核心算法(频域均衡算法)进行了数学上进行了较深入的研究,建立系统模型,并据此推导了三种频域均衡的算法:频域消除HICI,Gauss-Seidel迭代算法,频域线性内插。采用WSSUS信道模型进行了计算机仿真,得出了采用这些均衡算法在不同条件下的性能曲线。并且系统地、有重点地对该方案的原理和实质进行了较深入的讨论。归纳比较了各种算法的算法复杂度和能达到的性能,并且结合信道纠错编解码进行了细致的分析。进一步尝试设计了无线局域网OFDM系统的设计,采用典型的欧洲Hyperlan2系统为例,把研究成果引入到实际的整个系统中来看。结合具体的系统指出了该均衡算法在抗衰落和相位偏移方面的应用。 最后,描述了利用Xilinx的xc2v3000-4FG676型号芯片针对OFDM系统实现频域自适应均衡的方法,主要给出了设计方法、时序仿真结果和处理速度估值等;并结合最新的FPGA发展动态和特点,对基于FPGA实现其他均衡算法的升级空间进行了讨论。 本文的结束语中,对作者在本文中所作贡献进行了总结,并指出了仍有待深入研究的几个问题。

    标签: OFDM FPGA 信道

    上传时间: 2013-04-24

    上传用户:ahljj

  • 基于FPGA的多路E1反向复用传输芯片的设计与实现

    随着电信数据传输对速率和带宽的要求变得越来越迫切,原有建成的网络是基于话音传输业务的网络,已不能适应当前的需求.而建设新的宽带网络需要相当大的投资且建设工期长,无法满足特定客户对高速数据传输的近期需求.反向复用技术是把一个单一的高速数据流在发送端拆散并放在两个或者多个低速数据链路上进行传输,在接收端再还原为高速数据流.该文提出一种基于FPGA的多路E1反向复用传输芯片的设计方案,使用四个E1构成高速数据的透明传输通道,支持E1线路间最大相对延迟64ms,通过链路容量调整机制,可以动态添加或删除某条E1链路,实现灵活、高效的利用现有网络实现视频、数据等高速数据的传输,能够节省带宽资源,降低成本,满足客户的需求.系统分为发送和接收两部分.发送电路实现四路E1的成帧操作,数据拆分采用线路循环与帧间插相结合的方法,A路插满一帧(30时隙)后,转入B路E1间插数据,依此类推,循环间插所有的数据.接收电路进行HDB3解码,帧同步定位(子帧同步和复帧同步),线路延迟判断,FIFO和SDRAM实现多路数据的对齐,最后按照约定的高速数据流的帧格式输出数据.整个数字电路采用Verilog硬件描述语言设计,通过前仿真和后仿真的验证.以30万门的FPGA器件作为硬件实现,经过综合和布线,特别是写约束和增量布线手动调整电路的布局,降低关键路径延时,最终满足设计要求.

    标签: FPGA 多路 传输 片的设计

    上传时间: 2013-07-16

    上传用户:asdkin

  • 基于FPGA的计算机可编程外围接口芯片的设计与实现

    随着电子技术和EDA技术的发展,大规模可编程逻辑器件PLD(Programmable Logic Device)、现场可编程门阵列FPGA(Field Programmable Gates Array)完全可以取代大规模集成电路芯片,实现计算机可编程接口芯片的功能,并可将若干接口电路的功能集成到一片PLD或FPGA中.基于大规模PLD或FPGA的计算机接口电路不仅具有集成度高、体积小和功耗低等优点,而且还具有独特的用户可编程能力,从而实现计算机系统的功能重构.该课题以Altera公司FPGA(FLEX10K)系列产品为载体,在MAX+PLUSⅡ开发环境下采用VHDL语言,设计并实现了计算机可编程并行接芯片8255的功能.设计采用VHDL的结构描述风格,依据芯片功能将系统划分为内核和外围逻辑两大模块,其中内核模块又分为RORT A、RORT B、OROT C和Control模块,每个底层模块采用RTL(Registers Transfer Language)级描述,整体生成采用MAX+PLUSⅡ的图形输入法.通过波形仿真、下载芯片的测试,完成了计算机可编程并行接芯片8255的功能.

    标签: FPGA 计算机 可编程 外围接口

    上传时间: 2013-06-08

    上传用户:asddsd