虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

MosFet 保护

  • 基于FPGA的电子式互感器校验仪的研究.rar

    互感器是电力系统中电能计量和继电保护中的重要设备,其精度和可靠性与电力系统的安全性、可靠性和经济运行密切相关。随着电力工业的发展,传统的电磁式互感器已经暴露出一系列的缺陷,电子式互感器能很好的解决电磁式互感器的缺点,电子式互感器逐步替代电磁式互感器代表着电力工业的发展方向。目前,国产的互感器校验仪主要是电磁式互感器校验仪,电子式互感器校验仪依赖于进口。电子式互感器的发展,使得电子式互感器校验仪的研制势在必行。 本课题依据国际标准IEC60044-7、IEC60044-8和国内标准GB20840[1].7-2007、GB20840[1].8-2007,设计了电子式互感器检验仪。该校验仪采用直接法对电子式互感器进行校验,即同时测试待校验电子式互感器和标准电磁式互感器二次侧的输出信号,比较两路信号的参数,根据比较结果完成电子式互感器的校验工作。论文首先介绍了电子式互感器结构及输出数字信号的特征,然后详细论述了电子式互感器校验仪的硬件及软件设计方法。硬件主要采用FPGA技术设计以太网控制器RTL8019的控制电路,以实现电子式互感器信号的远程接收,同时设计A/D芯片MAX125的控制电路,以实现标准电磁式互感器模拟输出的数字化。软件主要采用FPGA的SOPC技术,研制了MAX125和RTL8019的IP核,在NiosIIIDE集成开发环境下,完成对硬件电路的底层控制,运用准同步算法和DFT算法开发应用程序实现对数字信号的处理。最终完成电子式互感器校验仪的设计。 最后进行了相关的实验,所研制的电子式互感器校验仪对0.5准确级的电子式电压互感器和0.5准确级电子式电流互感器分别进行了校验,对其额定负荷的20%、100%、120%点做为测量点进行测量。经过对实验数据的处理分析可知,校验仪对电子式互感器的校验精度满足0.5%的比差误差和20’的相位差。本课题的研究为电子式互感器校验仪的研制工作提供了理论和实践依据。

    标签: FPGA 电子式互感器 校验仪

    上传时间: 2013-04-24

    上传用户:569342831

  • 数字电视地面广播传输系统发端FPGA设计与实现.rar

    本项目完成的是基于中国“数字电视地面广播传输系统帧结构、信道编码和调制”国家标准的发射端系统FPGA设计与实现。在本设计中,系统采用了Stratix系列的EP1S80F1020C5 FPGA为基础构建的主硬件处理平台。对于发射端系统,数据处理部分的扰码器(随机化)、前向纠错编码(FEC)、符号星座映射、符号交织、系统信息复用、频域交织、帧体数据处理(OFDM调制)、同步PN头插入、以及信号成形4倍插值滚降滤波器(SRRC)等各模块都是基于FPGA硬件设计实现的。其中关键技术:TDS-OFDM技术及其和绝对时间同步的复帧结构、信号帧的头和帧体保护技术、低密度校验纠错码(LDPC)等,体现了国标的自主创新特点,为数字电视领域首次采用。其硬件实现,亦尚未有具体产品参考。 本文首先介绍了当今国内外数字电视的发展现状,中国数字电视地面广播传输国家标准的颁布背景。并对国标系统技术原理框架,发端系统的整体结构以及FPGA设计的相关知识进行了简要介绍。在此基础上,第三章重点、详细地介绍了基于FPGA实现的发射端系统各主要功能模块的具体结构设计,论述了系统中各功能模块的FPGA设计和实现,包括设计方案、算法和结构的选取、FPGA实现、仿真分析等。第四章介绍了对整个系统的级连调试过程中,对系统结构进行的优化调整,并对级连后的整个系统的性能进行了仿真、分析和验证。作者在项目中完成的工作主要有: 1.阅读相关资料,了解并分析国标系统的技术结构和原理,分解其功能模块。 2.制定了基于国标的发端系统FPGA实现的框架及各模块的接口定义。 3.调整和改进了3780点IFFT OFDM调制模块及滚降滤波器模块的FPGA设计并验证。 4.完成了扰码器、前向纠错编码、符号星座映射、符号交织、系统信息复用、频域交织、帧体数据处理、同步PN头插入、以及信号成形4倍插值滚降滤波器等功能模块的FPGA设计和验证。 5.在系统级连调试中,利用各模块数据结构特点,优化系统模块结构。 6.完成了整个发射端系统FPGA部分的调试、分析和验证。

    标签: FPGA 数字电视 地面广播

    上传时间: 2013-04-24

    上传用户:zzbbqq99n

  • 基于线阵CCD和FPGA干涉型甲烷测量仪的研究.rar

    近年来,瓦斯事故在煤矿生产事故中所占比例越来越高,给矿工的生产生活带来了极大的灾难,必须加强对瓦斯的监测监控,避免瓦斯爆炸事故。因此对瓦斯气体进行快速、实时检测对于煤矿安全生产及环境保护有特别重要的意义。便携式甲烷检测报警仪是各国应用最早最普遍的一种甲烷浓度检测仪表,可随时检测作业场所的甲烷浓度,也可使用甲烷传感器对甲烷浓度进行连续实时地监测。大体上当前应用的便携式甲烷检测仪器,按检测原理分为光学甲烷检测仪、热导型甲烷检测仪、热催化型甲烷检测报警仪、气敏半导体式甲烷检测仪等几种。 光干涉甲烷检测仪性能稳定、使用寿命长,测量准确,是我国煤矿主要的便携式甲烷检测仪器。但现有的光干涉甲烷检测仪存在自动化程度低、测量方法繁琐、读数不直观,人为误差较大、不能存储数据等缺点。为此本文在干涉型甲烷检测仪实现的原理上提出利用线阵型电荷耦合器件(CCD)对干涉条纹进行非接触式的自动测量,获得条纹信息,通过CCD驱动、高速模数转换、数据采集等关键技术,实现了干涉条纹位移的精确测量,由单片机对量化后的测量信号进行智能处理,数字化显示甲烷含量的测量结果。 光干涉甲烷检测的关键是对干涉条纹中白基线以及黑色条纹位置的检测,本设计采用线阵CCD成像获取条纹信息判别其位置。CCD是一种性能独特的半导体光电器件,近年来在摄像、工业检测等科技领域里得到了广泛的应用。将CCD技术应用于位置测量可以实现高精度和非接触测量的要求;运用FPGA实现CCD芯片的驱动具有速度快、稳定高等优点:模数转换之后的数据没有采用专用存储芯片进行存储,而采用FPGA硬件开发平台和Verilog HDL硬件描述语言编写代码实现数据采集模块系统,同时提高数据采集精准度,既降低成本又提高了存储效率。 本文设计的新系统使用方便、精度高、数据可储存,克服了传统光干涉甲烷检测仪的缺点,技术指标和功能都得到较大改善。

    标签: FPGA CCD 线阵

    上传时间: 2013-06-08

    上传用户:jogger_ding

  • 基于DSP和FPGA的数字化开关电源的实用化研究.rar

    文章开篇提出了开发背景。认为现在所广泛应用的开关电源都是基于传统的分立元件组成的。它的特点是频率范围窄、电力小、功能少、器件多、成本较高、精度低,对不同的客户要求来“量身定做”不同的产品,同时几乎没有通用性和可移植性。在电子技术飞速发展的今天,这种传统的模拟开关电源已经很难跟上时代的发展步伐。 随着DSP、ASIC等电子器件的小型化、高速化,开关电源的控制部分正在向数字化方向发展。由于数字化,使开关电源的控制部分的智能化、零件的共通化、电源的动作状态的远距离监测成为了可能,同时由于它的智能化、零件的共通化使得它能够灵活地应对不同客户的需求,这就降低了开发周期和成本。依靠现代数字化控制和数字信号处理新技术,数字化开关电源有着广阔的发展空间。 在数字化领域的今天,最后一个没有数字化的堡垒就是电源领域。近年来,数字电源的研究势头与日俱增,成果也越来越多。虽然目前中国制造的开关电源占了世界市场的80%以上,但都是传统的比较低端的模拟电源。高端市场上几乎没有我们份额。 本论文研究的主要内容是在传统开关电源模拟调节器的基础上,提出了一种新的数字化调节器方案,即基于DSP和FPGA的数字化PID调节器。论文对系统方案和电路进行了较为具体的设计,并通过测试取得了预期结果。测试证明该方案能够适合本行业时代发展的步伐,使系统电路更简单,精度更高,通用性更强。同时该方案也可用于相关领域。 本文首先分析了国内外开关电源发展的现状,以及研究数字化开关电源的意义。然后提出了数字化开关电源的总体设计框图和实现方案,并与传统的开关电源做了较为详细的比较。本论文的设计方案是采用DSP技术和FPGA技术来做数字化PID调节,通过数字化PID算法产生PWM波来控制斩波器,控制主回路。从而取代传统的模拟PID调节器,使电路更简单,精度更高,通用性更强。传统的模拟开关电源是将电流电压反馈信号做PID调节后--分立元器件构成,采用专用脉宽调制芯片实现PWM控制。电流反馈信号来自主回路的电流取样,电压反馈信号来自主回路的电压采样。再将这两个信号分别送至电流调节器和电压调节器的反相输入端,用来实现闭环控制。同时用来保证系统的稳定性及实现系统的过流过压保护、电流和电压值的显示。电压、电流的给定信号则由单片机或电位器提供。再次,文章对各个模块从理论和实际的上都做了仔细的分析和设计,并给出了具体的电路图,同时写出了软件流程图以及设计中应该注意的地方。整个系统由DSP板和ADC板组成。DSP板完成PWM生成、PID运算、环境开关量检测、环境开关量生成以及本地控制。ADC板主要完成前馈电压信号采集、负载电压信号采集、负载电流信号采集、以及对信号的一阶数字低通滤波。由于整个系统是闭环控制系统,要求采样速率相当高。本系统采用FPGA来控制ADC,这样就避免了高速采样占用系统资源的问题,减轻了DSP的负担。DSP可以将读到的ADC信号做PID调节,从而产生PWM波来控制逆变桥的开关速率,从而达到闭环控制的目的。 最后,对数字化开关电源和模拟开关电源做了对比测试,得出了预期结论。同时也提出了一些需要改进的地方,认为该方案在其他相关行业中可以广泛地应用。模拟控制电路因为使用许多零件而需要很大空间,这些零件的参数值还会随着使用时间、温度和其它环境条件的改变而变动并对系统稳定性和响应能力造成负面影响。数字电源则刚好相反,同时数字控制还能让硬件频繁重复使用、加快上市时间以及减少开发成本与风险。在当前对产品要求体积小、智能化、共通化、精度高和稳定度好等前提条件下,数字化开关电源有着广阔的发展空间。本系统来基本上达到了设计要求。能够满足较高精度的设计要求。但对于高精度数字化电源,系统还有值得改进的地方,比如改进主控器,提高参考电压的精度,提高采样器件的精度等,都可以提高系统的精度。 本系统涉及电子、通信和测控等技术领域,将数字PID算法与电力电子技术、通信技术等有机地结合了起来。本系统的设计方案不仅可以用在电源控制器上,只要是相关的领域都可以采用。

    标签: FPGA DSP 数字化

    上传时间: 2013-06-29

    上传用户:dreamboy36

  • 基于FPGA的HDMI显示系统的设计与实现.rar

    伴随着多媒体显示和传输技术的发展,人们获得了越来越高的视听享受。从传统的模拟电视,到标清、高清、全高清。与显示技术发展结伴而行的是显示接口技术的发展,从模拟的AV端子,S-Video和VGA接口,到数字显示的DVI接口,技术上经历了一个从模拟到数字,从并行到串行,从低速到高速的发展过程。 HDMI是最新的高清晰度多媒体接口,它的规范由Silicon Image等七家公司提出,具有带宽大,尺寸小,传输距离长和支持正版保护等功能,符合当今技术的发展潮流,一经推出,就获得了巨大的成功。成为平板显示器、高清电视等设备的标准接口之一,并获得了越来越广泛的应用。 从上世纪80年代XILINX发明第一款FPGA芯片以来,FPGA就以其体系结构和逻辑单元灵活,运算速度快,编程方便等优点广泛应用与IC设计、系统控制、视频处理、通信系统、航空航天等诸多方面。 本文利用ALTERA的一款高端FPGA芯片EP2S180F1508C3为核心,配合Silicon Image的专用HDMI接收芯片搭建了一个HDMI的接收显示平台。针对HDMI带宽宽,数据量大的特点,使用了新型的DDR2 SDRAM作为视频信号的输入和输出缓冲。在硬件板级设计上,针对HDMI和DDR2的相关高速电路,采用了一系列的高速电路设计方法,有效的避免了信号的反射,串扰等不良现象。同时在对HDMI规范和DDR2 SDRAM时序规范的深入研究的基础上,在ALTERA的开发平台QUARTUSII上编写了系统的顶层模块和相关各功能子模块,并仿真通过。 论文的主要工作和创新点表现在以下几个方面: 1、论文研究了最新的HDMI接口规范和新型存储器件DDR2的时序规范。 2、论文搭建的整个系统相当庞大,涉及到相关的规范、多种芯片的资料、各种工具软件的使用、原理图的绘制和PCB板的布局布线,直至后期的编程仿真,花费了作者大量的时间和精力。 3、论文首次使用FPGA来处理HDMI信号且直接驱动显示器件,区别于-般的ASIC方案。 4、论文对高速电路特别是的DDR2布局布线,采用了一系列的专门措施,具有一定的借鉴价值。

    标签: FPGA HDMI 显示系统

    上传时间: 2013-07-28

    上传用户:xiaoxiang

  • FPGA可配置端口电路的设计.rar

    可配置端口电路是FPGA芯片与外围电路连接关键的枢纽,它有诸多功能:芯片与芯片在数据上的传递(包括对输入信号的采集和输出信号输出),电压之间的转换,对外围芯片的驱动,完成对芯片的测试功能以及对芯片电路保护等。 本文采用了自顶向下和自下向上的设计方法,依据可配置端口电路能实现的功能和工作原理,运用Cadence的设计软件,结合华润上华0.5μm的工艺库,设计了一款性能、时序、功耗在整体上不亚于xilinx4006e[8]的端口电路。主要研究以下几个方面的内容: 1.基于端口电路信号寄存器的采集和输出方式,本论文设计的端口电路可以通过配置将它设置成单沿或者双沿的触发方式[7],并完成了Verilog XL和Hspiee的功能和时序仿真,且建立时间小于5ns和保持时间在0ns左右。和xilinx4006e[8]相比较满足设计的要求。 2.基于TAP Controller的工作原理及它对16种状态机转换的控制,对16种状态机的转换完成了行为级描述和实现了捕获、移位、输出、更新等主要功能仿真。 3.基于边界扫描电路是对触发器级联的构架这一特点,设计了一款边界扫描电路,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。达到对芯片电路测试设计的要求。 4.对于端口电路来讲,有时需要将从CLB中的输出数据实现异或、同或、与以及或的功能,为此本文采用二次函数输出的电路结构来实现以上的功能,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。满足设计要求。 5.对于0.5μm的工艺而言,输入端口的电压通常是3.3V和5V,为此根据设置不同的上、下MOS管尺寸来调整电路的中点电压,将端口电路设计成3.3V和5V兼容的电路,通过仿真性能上已完全达到这一要求。此外,在输入端口处加上扩散电阻R和电容C组成噪声滤波电路,这个电路能有效地抑制加到输入端上的白噪声型噪声电压[2]。 6.在噪声和延时不影响电路正常工作的范围内,具有三态控制和驱动大负载的功能。通过对管子尺寸的大小设置和驱动大小的仿真表明:在实现TTL高电平输出时,最大的驱动电流达到170mA,而对应的xilinx4006e的TTL高电平最大驱动电流为140mA[8];同样,在实现CMOS高电平最大驱动电流达到200mA,而xilinx4006e的CMOS驱动电流达到170[8]mA。 7.与xilinx4006e端口电路相比,在延时和面积以及功耗略大的情况下,本论文研究设计的端口电路增加了双沿触发、将输出数据实现二次函数的输出方式、通过添加译码器将配置端口的数目减少的新的功能,且驱动能力更加强大。

    标签: FPGA 可配置 端口

    上传时间: 2013-07-20

    上传用户:顶得柱

  • 开关电源设计指南215页7.6M.rar

    本书是一本介绍开关电源理论及工程设计相结合的工具书! 介绍了线性电源与开关电源的区别,并作技术上的比较,对开关电源中的拓扑结构,变压器及电感的设计,整流电路的选取,反馈网络,保护电路等,结合作者本身的实际经验,作论证! 作者本身是一位资深设计工程师!

    标签: 215 7.6 开关电源

    上传时间: 2013-04-24

    上传用户:ippler8

  • 太阳能充电控制器研究.rar

    ,针对目前太阳能充电控制器对蓄电池的 保护不够充分,蓄电池的寿命缩短这种情况,研究确定了一种基于单片机Atmega48 的太阳能充电控制器的方案,在太阳能对蓄电池的充电方式、控制器的功能要求 和实际应用方面做了分析

    标签: 太阳能充电控制器

    上传时间: 2013-04-24

    上传用户:ls530720646

  • 大功率单片开关电源设计.rar

    0 引言   开关电源具有效率高、重量轻、体积小,稳压范围宽等突出优点,从20世纪中期问世以来,发展极其迅猛,在计算机、通信、航天、办公和家用电器等方面得到了广泛的应用,大有取代线性稳压电源之势。提高电路的集成化是开关电源的追求之一,对中小功率开关电源来说是实现单片集成化。开关集成稳压器是指将控制电路、功率开关管和保护电路等集成在一个芯片内,而由开关集成稳压器构成的开关电源就称之为单片开关电源。

    标签: 大功率 单片开关 电源设计

    上传时间: 2013-04-24

    上传用户:zl5712176

  • 基于FPGA的变频调速控制系统设计与实现.rar

    如今电力电子电路的控制旨在实现高频开关的计算机控制,并向着更高频率、更低损耗和全数字化的方向发展。现场可编程门阵列器件(Field Programmable Gate Arrays)是近年来崭露头角的一类新型集成电路,它具有简洁、经济、高速度、低功耗等优势,又具有全集成化、适用性强,便于开发和维护(升级)等显著优点。与单片机和DSP相比,FPGA的频率更高、速度更快,这些特点顺应了电力电子电路的日趋高频化和复杂化发展的需要。因此,在越来越多的领域中FPGA得到了日益广泛的发展和应用。 本文提出了一种采用现场可编程门阵列(FPGA)器件实现数字化变频调速控制系统的设计方案。该系统能产生三相六路正弦脉宽调制(SPWM)波形;调制频率范围为0~4KHZ,分7级控制;16位的速度控制分辨率;载波频率分8级控制,最高可达24KHZ;系统接口兼容Intel系列和Motorola系列单片机;该系统控制简单、精确,易修改,可现场编程;同时具有脉冲延时小、最小脉冲删除、过压和过流保护功能等特点,可应用于PWM变频调速系统的全数字化控制。文中对方案的实现进行了详细的论述,主要包括系统设计的理论分析,系统结构设计及在FPGA硬件上的实现,最终验证了该控制系统的可行性和有效性。 数字化设计是本系统的特点,系统最终生成的三相SPWM脉冲是基于三相正弦调制波和三角载波比较得到的。设计时,充分结合FPGA器件的结构特点,利用一种改进结构的数字控制振荡器(NCO)来产生正弦波样本,在一定程度上解决了传统NCO产生正弦波的精度和频率相互制约的问题;把分时复用数字通信原理结合到系统的设计中,设计出分时运算电路,使得系统在同步时钟下,生成三相正弦调制波而不影响系统的速度,同三角载波逻辑比较后,最终得到三相SPWM脉冲序列。

    标签: FPGA 变频调速控制 系统设计

    上传时间: 2013-07-05

    上传用户:duoshen1989