虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

Micrium-ST-uCOS-II-Lcd-STM

  • NXP LPC21xx系列ARM芯片在ucos下启动程序分解

    在了解ARM在UCOS系统移植之前,请先了解本人编写的一片《周立功NXP LPC21xx22xx系列ARM芯片的启动程序分解》文件,在此基础上,需要熟悉以下几项内容: ARM内核级LPC系列的芯片内部结构知识 了解ADS1.2编译软件,其中各种伪指令及与C语言接口资料 阅读UCOS2.52源码及结构,可参阅本人编写的《Ucos_II 2.52源码中文译注资料》一文

    标签: ucos NXP LPC ARM

    上传时间: 2013-11-10

    上传用户:哇哇哇哇哇

  • 最详细的NIOSII教程

      核心板配置    核心板配置癿FPGA芯片是Cyclone II系列癿EP2C8Q208C,具有8256个LEs,36个M4K RAM blocks (4Kbits plus 512 parity bits),同时具有165,888bit癿RAM,支持18个Embedded multipliers和2个PLL,资源配备十分丰富。实验证明,返款芯片在嵌入NIOS II软核将黑釐开収板癿所有外讴全部跑起来,仅占全部资源癿70-80% ;    核心板同时配备了64Mbit癿SDRAM,对亍运行NIOS软核提供了有力癿保障,返款芯片为时钟频率有143MHz,实验证明,NIOS II软核主频可以平稳运行120MHz,速度迓是相当忚癿;    16Mbit癿配置芯片也为返款核心板增色丌少,丌仅可以存储配置信息,同时迓可以实现NIOS II软件程序存储,你编写癿程序再大也没有后顼乀忧了。    20M癿有源晶振也是必丌可少癿,他是整个系统癿时钟源泉;4个LED对亍调试来说更是提供了径多方便;复位按键,重新配置按键,配置指示灯一个也丌能少;同时支持AS模式和JTAG模式;    除此以外,核心板一个更大的特点是它可以独立亍底板单独运行,为此配备了5V癿电源接口,高质量癿红色开关,为了安全迓加入了自恢复保险丝。当然扩展口是丌能少癿,除了SDRAM占用癿38个IO口外,其他100个IO全部扩展出来,为大家可以迕行自我扩展实验做好了充分癿准备。   四、 下扩展板配置   为了让FPGA収挥它癿强大功能,黑釐开収板为其讴计一款资源丰富癿下扩展板(乀所以叨下扩展板,是因为我们后续迓会有上扩展板)。下面我们就来简单介终一下下扩展板癿资源配置。    支持网络功能,配置ENC28J60网口芯片。ENC28J60是Microchip Technology(美国微芯科技公司)推出癿28引脚独立以太网控刢器。目前市场上大部分以太网控刢器癿封装均赸过80引脚,而符吅IEEE 802.3协议癿ENC28J60叧有28引脚,既能提供相应癿功能,又可以大大简化相关讴计,减小空间;    支持USB功能,配置CH376芯片。CH376 支持USB 讴备方式和USB 主机方式,幵丏内置了USB 途讯协议癿基本固件,内置了处理Mass-Storage海量存储讴备癿与用途讯协议癿固件,内置了SD 卡癿途讯接口固件,内置了FAT16和FAT32 以及FAT12 文件系统癿管理固件,支持常用癿USB 存储讴备(包括U 盘/USB 硬盘/USB 闪存盘/USB 读卡器)和SD 卡(包括标准容量SD 卡和高容量HC-SD 卡以及协议兼容癿MMC 卡和TF 卡);    支持板载128*64的点阵LCD。ST7565P控刢芯片,内置DC/DC电路,途过软件调节对比度。该芯片支持,幵口和串口丟种方式;

    标签: NIOSII 教程

    上传时间: 2013-11-23

    上传用户:ouyangtongze

  • 基于Nios II的双网传真机系统的研究与开发

    基于Nios-II设计和实现了支持PSTN网络、Internet网络的双网传真机系统,利用FPGA实现了传真机系统的多个电路模块,包括A/D采样控制逻辑、二值化图像处理模块、MH编码模块、MH译码模块和CIS扫描、TPH打印、电机控制模块。基于μC/OS-II、Niche TCP/IP实现了T30协议通信、非实时网络传真通信、Tiff文件创建、Tiff文件解析模块。使用Altera Cyclone EP1C20开发板实现和验证了整机系统,经过软硬件联调,达到了系统设计指标和功能。

    标签: Nios 双网传真机

    上传时间: 2013-11-13

    上传用户:xwd2010

  • STM32V100开发板LCD屏用户手册

    STM32V100开发板LCD屏用户手册

    标签: V100 STM 100 32V

    上传时间: 2014-12-30

    上传用户:jennyzai

  • LCD工艺生产介绍及罗升横河DD马达在LCD玻璃划片机的应用

    摘要:本文介绍了LCD生产工艺流程、玻璃划片机的构架,技术特点,以及罗升横河DD马达的结构特点、适合LCD玻璃激光划片机应用。展望了LCD设备在国内的发展方向。关键字:罗升横河DD马达、高精度、高刚性、划片机、LCD

    标签: LCD 工艺 划片机 横河

    上传时间: 2013-11-04

    上传用户:yare

  • LCD点阵提取工具zimo221

    LCD点阵提取工具zimo221

    标签: zimo LCD 221 点阵

    上传时间: 2014-01-10

    上传用户:chendawei

  • LCD仿真器 V5.0

    LCD仿真器是一种电子产品的辅助开发工具。目前LCD(液晶屏)在各种电子产品的使用越来越广泛,开发人员在开发带LCD的产品时会用到各种各样的LCD,这些LCD或是现有的,或是定制,现有的LCD不一定能完全满足设计需要,定制LCD需要时间,需要资金,做好后还有修改的可能性,造成不必要的浪费。传统的做法是用LED(发光管)+驱动电路来仿真LCD,其弊端有四,一、电路复杂,功耗大,100多点的LCD电流将达1A左右。二、图案逼真性差,不直观。三、制作、修改困难,灵活性差。四、通用性不强。     LCD仿真器完全克服了以上存在的问题,她采用软硬件结合的方法,充分发挥软件在作图、运算方面的优势,使仿真的图案与目标LCD图案完全一致,仿真LCD特性与目标LCD特性几乎一样,并提供强大的LCD图形编辑工具,对于不同的LCD产品,LCD仿真器硬件不必更换,只需制作不同的LCD图案,她的灵活性、通用性将是您开发LCD产品的理想选择。     LCD仿真器由采样板、仿真软件和LCD图形编辑软件组成,采样板通过USB口与PC机通信。     LCD仿真器可以方便地与HT1621、Winbond、SAMSUNG,中颖、十速HOLTEK、义隆等带LCD DRIVER的单片机连接。

    标签: LCD 5.0 仿真器

    上传时间: 2013-11-06

    上传用户:行者Xin

  • LCD点阵提取工具zimo221

    LCD点阵提取工具zimo221

    标签: zimo LCD 221 点阵

    上传时间: 2013-10-13

    上传用户:范缜东苑

  • quartus ii 数字钟设计

    quartus ii 数字钟设计 东南大学大二电路实验验收资料

    标签: quartus ii 数字钟设计

    上传时间: 2013-10-20

    上传用户:dengzb84

  • QUARTUS II 9.0

    QUARTUS II 9.0 安装压缩包

    标签: QUARTUS 9.0 II

    上传时间: 2013-11-18

    上传用户:unmwq