虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

MAX 10 FPGA

  • MAX+plus II FPGA CPLD开发软件完美无限制破解版

    MAX+plus II FPGA CPLD开发软件完美无限制破解版

    标签: FPGA CPLD plus MAX

    上传时间: 2014-01-07

    上传用户:sjyy1001

  • 本文介绍了乐曲演奏电路的设计与实现中涉及的CPLD/FPGA可编程逻辑控件,开发环境MAX+PLUSⅡ,硬件描述语言HDL以及介绍了在MAX+PLUSⅡ的EDA 软件平台上, 一种基于FPGA 的乐曲

    本文介绍了乐曲演奏电路的设计与实现中涉及的CPLD/FPGA可编程逻辑控件,开发环境MAX+PLUSⅡ,硬件描述语言HDL以及介绍了在MAX+PLUSⅡ的EDA 软件平台上, 一种基于FPGA 的乐曲发生器的设计方法, 并给出了设计的顶层电路图和底层模块的VHDL(或AHDL)源程序。该设计的正确性已通过硬件实验得到验证。

    标签: FPGA PLUS MAX CPLD

    上传时间: 2014-02-01

    上传用户:wff

  • MAX+PULSII 培训教材 1366页 10.4M pdf版 西文.rar

    可编程逻辑器件相关专辑 96册 1.77GMAX+PULSII 培训教材 1366页 10.4M pdf版 西文.rar

    标签:

    上传时间: 2014-05-05

    上传用户:时代将军

  • CPLD/FPGA高级应用开发指南 283页 10.6M.pdf

    可编程逻辑器件相关专辑 96册 1.77GCPLD/FPGA高级应用开发指南 283页 10.6M.pdf

    标签:

    上传时间: 2014-05-05

    上传用户:时代将军

  • 高级FPGA教学实验指导书-逻辑设计

    第一章、ALTERA QUATUSII 5.0 使用介绍...................................... 3 1. 概述.................................................................. 3 2. QUATUSII 设计过程..................................................... 5 2.1. 建立工程.......................................................... 5 2.2. 建立设计.......................................................... 6 2.2.1 使用QUATUSII BLOCK EDITOR 建立原理图文件.............................. 7 2.2、2 使用 QUARTUS II TEXT EDITOR .......................................... 8 2.2.3 使用 VERILOG HDL、VHDL 与 AHDL ...................................... 9 3. 编译综合设计.......................................................... 9 4. 仿真工程............................................................. 11 5. 分配设备与管脚....................................................... 12 6. 程序下载............................................................. 15 7. 调试与软件逻辑分析仪的使用........................................... 16 7.1. 设置和运行 SIGNALTAP II 逻辑分析器................................. 17 7.2. 设置触发器: ..................................................... 18 第二章 FPGA 试验平台介绍................................................. 19 1 简介................................................................... 19 2 主要的器件和特性....................................................... 19 3 LED,拨码开关和按键................................................... 21 3.1 十二个发光二极管(LED)七段数码显示器.............................. 21 3.2 四位拨码开关和两个功能按键......................................... 24 4 RS-232 串口............................................................ 24 5 PS/2 鼠标、键盘接口.................................................... 26 6 VGA 接口.............................................................. 26 7 USB1.1 接口........................................................... 26 8 LCD 接口.............................................................. 27 9 高速,异步SRAM ....................................................... 27 10 高速,同步SDRAM ...................................................... 33 11 大容量,快速FLASH .................................................... 35 12 USB2.0 芯片接口....................................................... 38 13 编程和调试接口....................................................... 39 14 时钟源............................................................... 39 15 电源方案............................................................. 41 16 复位电路............................................................. 42 17 扩展板接口........................................................... 42 第三章 数字电路与数字系统试验........................................... 45 第一部分 基础试验....................................................... 45 实验一 3/8 译码器....................................................... 45 实验二 分频器........................................................... 47 实验三 BCD 七段显示译码器实验............................................ 47 实验四 模拟74LS160 计数器实验........................................... 50 实验五 交通灯控制器..................................................... 51 实验六 乒乓球游戏机..................................................... 52 试验七 扫描数码显示器................................................... 54 试验八 频率计........................................................... 56 第二部分 接口控制器试验................................................. 58 试验九 RS-232 串口控制器................................................. 58 试验十 LCD 显示试验...................................................... 60 试验十一 VGA 控制输出试验............................................... 64 试验十二 PS/2 键盘控制器试验............................................ 66 试验十三 接口互连试验................................................... 69

    标签: FPGA

    上传时间: 2015-10-08

    上传用户:shzweh1234

  • FPGA采样AD9238数据并通过VGA波形显示例程 Verilog逻辑源码Quartus工程文件+

    FPGA采样AD9238数据并通过VGA波形显示例程 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。ADC 模块型号为 AN9238,最大采样率 65Mhz,精度为12 位。实验中把 AN9238 的 2 路输入以波形方式在 HDMI 上显示出来,我们可以用更加直观的方式观察波形,是一个数字示波器雏形。module top( input                       clk, input                       rst_n, output                      ad9238_clk_ch0, output                      ad9238_clk_ch1, input[11:0]                 ad9238_data_ch0, input[11:0]                 ad9238_data_ch1, //vga output output                      vga_out_hs, //vga horizontal synchronization output                      vga_out_vs, //vga vertical synchronization output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue);wire                            video_clk;wire                            video_hs;wire                            video_vs;wire                            video_de;wire[7:0]                       video_r;wire[7:0]                       video_g;wire[7:0]                       video_b;wire                            grid_hs;wire                            grid_vs;wire                            grid_de;wire[7:0]                       grid_r;wire[7:0]                       grid_g;wire[7:0]                       grid_b;wire                            wave0_hs;wire                            wave0_vs;wire                            wave0_de;wire[7:0]                       wave0_r;wire[7:0]                       wave0_g;wire[7:0]                       wave0_b;wire                            wave1_hs;wire                            wave1_vs;wire                            wave1_de;wire[7:0]                       wave1_r;wire[7:0]                       wave1_g;wire[7:0]                       wave1_b;wire                            adc_clk;wire                            adc0_buf_wr;wire[10:0]                      adc0_buf_addr;wire[7:0]                       adc0_bu

    标签: fpga ad9238

    上传时间: 2021-10-27

    上传用户:qingfengchizhu

  • VIP专区-单片机源代码精选合集系列(10)

    eeworm.com VIP专区 单片机源码系列 10资源包含以下内容:1. 矩阵键盘和74LS164汇编使用.doc2. 基于DS1820的单总线温度计.pdf3. ZLG7290资料+应用实例.pdf4. 51最小系统PCB.zip5. 远翔(飞凌)单片机MCU选型与规格书下载.doc6. 单片机原理教程(经典).ppt7. 1302 时钟显示程序.zip8. 小信号采集放大电路设计.pdf9. 移动电源方案_原理图及源程序.rar10. LED旋转显示屏设计.zip11. STC12C5410AD详细中文资料.pdf12. PID与电机速度控制.pdf13. 12864 LCD内含设计电路,字模软件,参考程序,PCB组件.rar14. STM32,5110液晶显示超声波测距探鱼器200KHz,带电路图,精确到厘米.rar15. 单片机课程设计.doc16. 40831波形发生器--基于单片机的正弦波发生器的设计与制作.doc17. ds18b20同pic单片机通讯源程序.rar18. protel99se基础教程.ppt19. 12864液晶串行显示C程序.pdf20. 霍尔开关传感器模块 资料.rar21. 单片机驱动8至52寸液晶显示器、触摸屏.pdf22. AT89C51汇编语言例子大全.zip23. 电子线路课程设计方案完稿--温度控制系统设计方案.docx24. 旋转时钟设计.doc25. 郭天祥单片机教程习题整理.rar26. 自装可编程控制器.pdf27. 全国大学生电子设计优秀作品选集.pdf28. PMW-HEX-V2.4正式版.zip29. 基于89C52单片机和DS1302的万年历设计.pdf30. 单片机仿PLC编程主程序(C语言).zip31. ISD1700-89C51开发试验板[1].pdf32. 单片机可编程控制器.pdf33. Keil工程的建立及相关规范.pdf34. 51单片机实现PLC的设计.pdf35. 51单片机数码管显示万年历proteus仿真.rar36. Protues元件库和元件中文对照(完美整理).doc37. PWM控制技术.ppt38. 超声波测距避障资料.zip39. STM32F103xF/G资料.pdf40. Proteus仿真万年历 lcd显示.rar41. 高压防卫电筒电棒制作原理.rar42. 单片机课程设计报告 - 十字路口交通灯控制.rar43. STC单片机程序烧写器.exe44. 基于STC单片机的电子罗盘-超声波测障系统设计.zip45. 【批量下载】点阵资料下载等 (1).zip46. USB总线转接芯片CH341中文手册.PDF47. Freescale控制5110液晶程序.rar48. 电子密码锁课程设计.rar49. 关于51单片机上实现modbus协议.doc50. Freescale 9S12单片机PWM驱动.rar51. RT5350_Preliminary_Datasheet.pdf52. AT89S51单片机试验及实践教程.rar53. MSP430 LaunchPad G2XX学习板用户指南.PDF54. AT89C52中文单片机芯片.pdf55. SN8P2735用户手册.pdf56. MSP430FR57xx Family User手册.pdf57. 郭天祥_十天学会单片机和C语言编程.ppt58. 数字式竞赛抢答器.doc59. CPU卡操作参考设计.pdf60. 电容测试.rar61. 课程设计—直流交流数字电压表.rar62. CPU卡COS系统文件结构详解.doc63. 基于单片机的电容测量仪定稿.doc64. 基于C8051F040单片机的动态血糖监测系统的低功耗设计.zip65. 马老师(MSP430G2553)例程.doc66. 基于单片机的结晶器钢水液位检测系统设计.zip67. Freescale XS128单片机ECT--计数器例程.zip68. 基于STC89C51的恒定湿度监控柜研究.zip69. 量程自动切换数字电压表原理图文件。。源程序.rar70. BlueSkyC51不完全手册V2.0.pdf71. TTL电平和CMOS电平总结.docx72. MSP-EXP430G2 LaunchPad 实验板.pdf73. IAR+FOR+MSP430+快速入门.pdf74. 《微控制器应用及系统设计》.pdf75. 入门者最通俗的C语言学习教程.zip76. 51单片机软件平台使用手册.pdf77. 74HC165功能说明.doc78. 富士通半导体变频方案.pdf79. 8位十进制显示数字频率计(带周期)设计报告.doc80. PID电机控制.pdf81. IC总线汇编.rar82. 入门者最通俗的汇编语言学习资料.zip83. PIC18的配置位学习.docx84. 基于proteus的485串口仿真电路及程序.zip85. stm32_discovery--STM32F100开发板资料.pdf86. 如何对STM8S的闪存存储器和数据EEPROM编程.pdf87. MC74HC154数据资料.pdf88. 两轮自平衡小车.pdf89. AD0832数据程序.doc90. 基于单片机的超声波传感器测距系统.doc91. SYC13、汽车测距测速及倒车提示.rar92. 基于单片机控制的电铃控制器.doc93. MK7A23P RISC高性能8位微控制器.pdf94. 基于Proteus的8051单片机实例源程序.zip95. 学习单片机步骤以及一些建议.docx96. 各模块电路及使用说明附件1.rar97. MSP430单片机基础与实践(谢兴红).pdf98. 温度上下限可调报警器课程设计.doc99. C8051F0xx引导装入程序考虑及举例.pdf100. 51单片机好学1602全过程C语言编程显示很全的哦.doc

    标签: Matlab 编程

    上传时间: 2013-05-15

    上传用户:eeworm

  • MAX+PLUSII 10.230

    Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。

    标签: 计算机组成原理

    上传时间: 2013-05-22

    上传用户:eeworm

  • MAX+plusⅡ入门篇 书签版

    MAX+plusⅡ入门篇 书签版

    标签: plus MAX

    上传时间: 2013-04-15

    上传用户:eeworm

  • MAX+plusII--BYTEBLASTER下载线驱动程序的安装

    MAX+plusII--BYTEBLASTER下载线驱动程序的安装

    标签: BYTEBLASTER plusII MAX 下载线

    上传时间: 2013-04-15

    上传用户:eeworm