虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

KEIL RTX 7.0

  • 语言图形界面设计

    一个程序如果不好看,使用的人一定不爽,会有视觉疲劳。因此一个功能强大且界面漂亮、易于操作的软件才是人们真正需要的软件。 本书每一课以一个实际制作图形的任务完成教学工作,不仅提高了编程的辅助技术技能,学习Photoshop的使用方法,还可以进入图形设计的大门。 一般学习编程的书很少有对如何设计程序的图形界面进行专门介绍,因此学习后,很多用户对于程序界面设计还不能很好的掌握。而学习程序界面设计有的编程爱好者是通过学习图像制作软件而得来的,如Photoshop,但还不够具体进行程序界面设计。 一个有着漂亮界面的程序一定比界面普通的程序更会让人感觉上更专业一些,让人眼睛一亮。在当今竞争激烈的市场环境下,客户越来越挑剔,对创意有着较高的要求。现在的经济也有人称为吸引人眼球的经济,不得不承认有些程序在界面设计上所花的功夫并不比程序设计的少,有的设计精美的程序实在是让人难以忘怀的。 本书即是一种教大家如何学习设计漂亮的易语言应用程序界面的专业书籍。书中介绍了易语言界面设计方法,采用Photoshop7.0作为教学工具。有的读者会说是不是杀鸡用牛刀了,但你能用“画板”画出水晶按钮吗?由于程序界面设计涉及的内容非常多,如果用其他的软件进行教学也许会达不到预期的目标,因此使用Photoshop无疑是首选工具。再加上Photoshop的确是设计行业中的老大,不仅从它的易操作性方面来说,还是从它那强大的功能,以及众多的滤镜等,都一定不会让读者失望。 本书以Photoshop 7.0为讲解对象,同样也适合于Photoshop的其他所有的版本。 同时本书是一本速成的书,因此没有先去了解Photoshop的基本知识,而是直接进行操作,从操作中再去了解Photoshop,一共8课学会,效果更好。 本书适合中学课本中对图形图像的学习,可以安排16课时。 本书同时适合学习易语言编程的爱好者美化自己的程序。 为易语言资格认证考试易语言程序设计师指导用书。 本教材参加易语言在中小学试点项目的老师们可任意参考使用。

    标签: 语言 图形 界面设计

    上传时间: 2019-01-29

    上传用户:jizhi111

  • FPGA采样AD9238数据并通过VGA波形显示例程 Verilog逻辑源码Quartus工程文件+

    FPGA采样AD9238数据并通过VGA波形显示例程 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。ADC 模块型号为 AN9238,最大采样率 65Mhz,精度为12 位。实验中把 AN9238 的 2 路输入以波形方式在 HDMI 上显示出来,我们可以用更加直观的方式观察波形,是一个数字示波器雏形。module top( input                       clk, input                       rst_n, output                      ad9238_clk_ch0, output                      ad9238_clk_ch1, input[11:0]                 ad9238_data_ch0, input[11:0]                 ad9238_data_ch1, //vga output output                      vga_out_hs, //vga horizontal synchronization output                      vga_out_vs, //vga vertical synchronization output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue);wire                            video_clk;wire                            video_hs;wire                            video_vs;wire                            video_de;wire[7:0]                       video_r;wire[7:0]                       video_g;wire[7:0]                       video_b;wire                            grid_hs;wire                            grid_vs;wire                            grid_de;wire[7:0]                       grid_r;wire[7:0]                       grid_g;wire[7:0]                       grid_b;wire                            wave0_hs;wire                            wave0_vs;wire                            wave0_de;wire[7:0]                       wave0_r;wire[7:0]                       wave0_g;wire[7:0]                       wave0_b;wire                            wave1_hs;wire                            wave1_vs;wire                            wave1_de;wire[7:0]                       wave1_r;wire[7:0]                       wave1_g;wire[7:0]                       wave1_b;wire                            adc_clk;wire                            adc0_buf_wr;wire[10:0]                      adc0_buf_addr;wire[7:0]                       adc0_bu

    标签: fpga ad9238

    上传时间: 2021-10-27

    上传用户:qingfengchizhu

  • FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件

    FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。1 实验简介在前面的实验中我们练习了 SD 卡读写,VGA 视频显示等例程,本实验将 SD 卡里的 BMP 图片读出,写入到外部存储器,再通过 VGA、LCD 等显示。本实验如果通过液晶屏显示,需要有液晶屏模块。2 实验原理在前面的实验中我们在 VGA、LCD 上显示的是彩条,是 FPGA 内部产生的数据,本实验将彩条替换为 SD 内的 BMP 图片数据,但是 SD 卡读取速度远远不能满足显示速度的要求,只能先写入外部高速 RAM,再读出后给视频时序模块显示module top( input                       clk, input                       rst_n, input                       key1, output [5:0]                seg_sel, output [7:0]                seg_data, output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      sd_ncs,            //SD card chip select (SPI mode) output                      sd_dclk,           //SD card clock output                      sd_mosi,           //SD card controller data output input                       sd_miso,           //SD card controller data input output                      sdram_clk,         //sdram clock output                      sdram_cke,         //sdram clock enable output                      sdram_cs_n,        //sdram chip select output                      sdram_we_n,        //sdram write enable output                      sdram_cas_n,       //sdram column address strobe output                      sdram_ras_n,       //sdram row address strobe output[1:0]                 sdram_dqm,         //sdram data enable output[1:0]                 sdram_ba,          //sdram bank address output[12:0]                sdram_addr,        //sdram address inout[15:0]                 sdram_dq           //sdram data);parameter MEM_DATA_BITS         = 16  ;            //external memory user interface data widthparameter ADDR_BITS             = 24  

    标签: fpga

    上传时间: 2021-10-27

    上传用户:ibeikeleilei

  • IIC接口E2PROM(AT24C64) 读写VERILOG 驱动源码+仿真激励文件: module

    IIC接口E2PROM(AT24C64) 读写VERILOG 驱动源码+仿真激励文件:module i2c_dri    #(      parameter   SLAVE_ADDR = 7'b1010000   ,  //EEPROM从机地址      parameter   CLK_FREQ   = 26'd50_000_000, //模块输入的时钟频率      parameter   I2C_FREQ   = 18'd250_000     //IIC_SCL的时钟频率    )   (                                                                input                clk        ,        input                rst_n      ,                                                //i2c interface                          input                i2c_exec   ,  //I2C触发执行信号    input                bit_ctrl   ,  //字地址位控制(16b/8b)    input                i2c_rh_wl  ,  //I2C读写控制信号    input        [15:0]  i2c_addr   ,  //I2C器件内地址    input        [ 7:0]  i2c_data_w ,  //I2C要写的数据    output  reg  [ 7:0]  i2c_data_r ,  //I2C读出的数据    output  reg          i2c_done   ,  //I2C一次操作完成    output  reg          i2c_ack    ,  //I2C应答标志 0:应答 1:未应答    output  reg          scl        ,  //I2C的SCL时钟信号    inout                sda        ,  //I2C的SDA信号                                           //user interface                       output  reg          dri_clk       //驱动I2C操作的驱动时钟     );//localparam definelocalparam  st_idle     = 8'b0000_0001; //空闲状态localparam  st_sladdr   = 8'b0000_0010; //发送器件地址(slave address)localparam  st_addr16   = 8'b0000_0100; //发送16位字地址localparam  st_addr8    = 8'b0000_1000; //发送8位字地址localparam  st_data_wr  = 8'b0001_0000; //写数据(8 bit)localparam  st_addr_rd  = 8'b0010_0000; //发送器件地址读localparam  st_data_rd  = 8'b0100_0000; //读数据(8 bit)localparam  st_stop     = 8'b1000_0000; //结束I2C操作//reg definereg            sda_dir   ; //I2C数据(SDA)方向控制reg            sda_out   ; //SDA输出信号reg            st_done   ; //状态结束reg            wr_flag   ; //写标志reg    [ 6:0]  cnt       ; //计数reg    [ 7:0]  cur_state ; //状态机当前状态reg    [ 7:0]  next_state; //状态机下一状态reg    [15:0]  addr_t    ; //地址reg    [ 7:0]  data_r    ; //读取的数据reg    [ 7:0]  data_wr_t ; //I2C需写的数据的临时寄存reg    [ 9:0]  clk_cnt   ; //分频时

    标签: iic 接口 e2prom at24c64 verilog 驱动 仿真

    上传时间: 2021-11-05

    上传用户:tigerwxf1

  • FPGA读取OV5640摄像头数据并通过VGA或LCD屏显示输出的Verilog逻辑源码Quartu

    FPGA读取OV5640摄像头数据并通过VGA或LCD屏显示输出的Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, output                      cmos_scl,          //cmos i2c clock inout                       cmos_sda,          //cmos i2c data input                       cmos_vsync,        //cmos vsync input                       cmos_href,         //cmos hsync refrence,data valid input                       cmos_pclk,         //cmos pxiel clock output                      cmos_xclk,         //cmos externl clock input   [7:0]               cmos_db,           //cmos data output                      cmos_rst_n,        //cmos reset output                      cmos_pwdn,         //cmos power down output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      sdram_clk,         //sdram clock output                      sdram_cke,         //sdram clock enable output                      sdram_cs_n,        //sdram chip select output                      sdram_we_n,        //sdram write enable output                      sdram_cas_n,       //sdram column address strobe output                      sdram_ras_n,       //sdram row address strobe output[1:0]                 sdram_dqm,         //sdram data enable output[1:0]                 sdram_ba,          //sdram bank address output[12:0]                sdram_addr,        //sdram address inout[15:0]                 sdram_dq           //sdram data);

    标签: fpga ov5640 摄像头

    上传时间: 2021-12-18

    上传用户:yiyewumian

  • 基于FPGA设计的字符VGA LCD显示实验Verilog逻辑源码Quartus工程文件+文档说明

    基于FPGA设计的字符VGA  LCD显示实验Verilog逻辑源码Quartus工程文件+文档说明,通过字符转换工具将字符转换为 8 进制 mif 文件存放到单端口的 ROM IP 核中,再从ROM 中把转换后的数据读取出来显示到 VGA 上,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, //vga output         output                      vga_out_hs, //vga horizontal synchronization          output                      vga_out_vs, //vga vertical synchronization                   output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue );wire                            video_clk;wire                            video_hs;wire                            video_vs;wire                            video_de;wire[7:0]                       video_r;wire[7:0]                       video_g;wire[7:0]                       video_b;wire                            osd_hs;wire                            osd_vs;wire                            osd_de;wire[7:0]                       osd_r;wire[7:0]                       osd_g;wire[7:0]                       osd_b;assign vga_out_hs = osd_hs;assign vga_out_vs = osd_vs;assign vga_out_r  = osd_r[7:3]; //discard low bit dataassign vga_out_g  = osd_g[7:2]; //discard low bit dataassign vga_out_b  = osd_b[7:3]; //discard low bit data//generate video pixel clockvideo_pll video_pll_m0( .inclk0                (clk                        ), .c0                    (video_clk                  ));color_bar color_bar_m0( .clk                   (video_clk                  ), .rst                   (~rst_n                     ), .hs                    (video_hs                   ), .vs                    (video_vs                   ), .de                    (video_de                   ), .rgb_r                 (video_r                    ), .rgb_g                 (video_g                    ), .rgb_b                 (video_b                    ));osd_display  osd_display_m0( .rst_n                 (rst_n                      ), .pclk                  (video_clk                  ), .i_hs                  (video_hs                   ), .i_vs                  (video_vs                   ), .i_de                  (video_de                   ), .i_data                ({video_r,video_g,video_b}  ), .o_hs                  (osd_hs                     ), .o_vs                  (osd_vs                     ), .o_de                  (osd_de                     ), .o_data                ({osd_r,osd_g,osd_b}        ));endmodule

    标签: fpga vga lcd

    上传时间: 2021-12-18

    上传用户:jimmy950583

  • 基于FPGA设计的vga显示测试实验Verilog逻辑源码Quartus工程文件+文档说明 FPGA

    基于FPGA设计的vga显示测试实验Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, //vga output         output                      vga_out_hs, //vga horizontal synchronization          output                      vga_out_vs, //vga vertical synchronization                   output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue );wire                            video_clk;wire                            video_hs;wire                            video_vs;wire                            video_de;wire[7:0]                       video_r;wire[7:0]                       video_g;wire[7:0]                       video_b;assign vga_out_hs = video_hs;assign vga_out_vs = video_vs;assign vga_out_r  = video_r[7:3]; //discard low bit dataassign vga_out_g  = video_g[7:2]; //discard low bit dataassign vga_out_b  = video_b[7:3]; //discard low bit data//generate video pixel clockvideo_pll video_pll_m0( .inclk0(clk), .c0(video_clk));color_bar color_bar_m0( .clk(video_clk), .rst(~rst_n), .hs(video_hs), .vs(video_vs), .de(video_de), .rgb_r(video_r), .rgb_g(video_g), .rgb_b(video_b));endmodule

    标签: fpga vga显示 verilog quartus

    上传时间: 2021-12-19

    上传用户:kingwide

  • FPGA Verilog HDL设计温度传感器ds18b20温度读取并通过lcd1620和数码管显示

    FPGA Verilog HDL设计温度传感器ds18b20温度读取并通过lcd1620和8位LED数码管显示的QUARTUS II 12.0工程文件,包括完整的设计文件.V源码,可以做为你的学习及设计参考。module ds18b20lcd1602display ( Clk, Rst,      DQ,   //18B20数据端口 Txd,  //串口发送端口 LCD_Data, //lcd LCD_RS, LCD_RW, LCD_En, SMData, //数码管段码 SMCom   //数码管位码 );input Rst,Clk;output Txd,LCD_RS,LCD_En,LCD_RW;inout DQ;output[7:0] LCD_Data;output[7:0] SMData;output[3:0] SMCom;wire DataReady;//测温完成信号wire [15:0] MeasureResult;//DS18B20测温结果reg  [15:0] Temperature;//产生LCD的位码和段码LCD1602Display Gen_LCD(.resetin(Rst),.clkin(Clk),.Data16bIn(Temperature),.lcd_data(LCD_Data),.lcd_rs(LCD_RS),.lcd_rw(LCD_RW),.lcd_e(LCD_En)/*,.SMCom(SMCom)*/);//DS18B20测温和发送  DS18B20 TmpMeasureAndTx(.Rst(Rst),.Clk(Clk),.DQ(DQ),.Txd(Txd),.FinishFlag(DataReady),.Data16b(MeasureResult));//产生数码管的位码和段码SMDisplay Gen_SM(.Rst(Rst),.

    标签: fpga verilog hdl 温度传感器 ds18b20 lcd1620 数码显示

    上传时间: 2022-01-30

    上传用户:wwa875

  • spi 通信的master部分使用的verilog语言实现

    spi 通信的master部分使用的verilog语言实现,可以做为你的设计参考。module spi_master(rstb,clk,mlb,start,tdat,cdiv,din, ss,sck,dout,done,rdata);    input rstb,clk,mlb,start;    input [7:0] tdat;  //transmit data    input [1:0] cdiv;  //clock divider input din; output reg ss;  output reg sck;  output reg dout;     output reg done; output reg [7:0] rdata; //received dataparameter idle=2'b00; parameter send=2'b10; parameter finish=2'b11; reg [1:0] cur,nxt; reg [7:0] treg,rreg; reg [3:0] nbit; reg [4:0] mid,cnt; reg shift,clr;

    标签: spi 通信 master verilog

    上传时间: 2022-02-03

    上传用户:突破自我

  • verilog实现I2C通信的slave模块源码状态机设位计可做I2C接口的仿真模型

    verilog实现I2C通信的slave模块源码状态机设位计可做I2C接口的仿真模型//`timescale 1ns/1psmodule I2C_slv (input [6:0] slv_id,input       RESET,input       scl_i,      //I2C clkinput       sda_i,      //I2C data ininput [7:0] I2C_RDDATA,////////////////////////output reg       sda_o,     //I2C data outoutput reg       reg_w,     //reg write enable pulse (1T of scl_i)output reg [7:0] I2C_ADDR,output reg [7:0] I2C_DATA);  parameter ST_ADDR    = 4'd0;  parameter ST_ACK     = 4'd1;  parameter ST_WDATA1  = 4'd2;  parameter ST_WACK1   = 4'd3;  parameter ST_WDATA2  = 4'd4;  parameter ST_WACK2   = 4'd5;  parameter ST_WDATA3  = 4'd6;  parameter ST_WACK3   = 4'd7;  parameter ST_RDATA1  = 4'd8;  parameter ST_RACK1   = 4'd9;  parameter ST_IDLE    = 4'd15;//---------------------------------------------------------------------------// Signal Declaration//---------------------------------------------------------------------------  reg        i2c_start_n, i2c_stop_n;  //wire       RESET_scl;  wire       i2c_stp_n, i2c_RESET;  reg [3:0]  i2c_cs, i2c_ns;  reg [3:0]  cnt_bit;  reg [7:0]  d_vec;  reg        i2c_rd, i2c_ack;  reg [7:0]  I2C_RDDATA_latch;

    标签: verilog i2c 通信 slave

    上传时间: 2022-02-03

    上传用户:zhengtiantong