ZigBee技术简介旭昂电子ZigBee无线通信模块介绍ZigBee技术专业开发商ZigBee技术应用解决方案ZigBee技术应用:无线传感器网络、数据采集、工业控制、智能楼宇、医疗设备… ZigBee是一种新兴的短距离、低功耗、低数据速率、低成本、低复杂度的无线网络技术。ZigBee 采取了Ieee 802.15.4强有力的无线物理层所规定的全部优点:省电、简单、成本又低的规格; ZigBee增加了逻辑网络、网络安全和应用层。ZigBee联盟预测的主要应用领域包括工业控制、消费性电子设备、汽车自动化、家庭和楼宇自动化、医用设备控制等。
上传时间: 2013-11-20
上传用户:qq521
library Ieee; use Ieee.STD_LOGIC_1164.ALL; use Ieee.STD_LOGIC_ARITH.ALL; use Ieee.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is Port ( clk : in std_logic; resetn : in std_logic; dout : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std_logic; lcd_rw : out std_logic); end counter;
上传时间: 2013-10-30
上传用户:wqxstar
This application note provides users with a general understanding of the SVF and XSVF fileformats as they apply to Xilinx devices. Some familiarity with Ieee STD 1149.1 (JTAG) isassumed. For information on using Serial Vector Format (SVF) and Xilinx Serial Vector Format(XSVF) files in embedded programming applications
上传时间: 2015-01-02
上传用户:时代将军
One of the most misunderstood constructs in the Verilog language is the nonblockingassignment. Even very experienced Verilog designers do not fully understand how nonblockingassignments are scheduled in an Ieee compliant Verilog simulator and do not understand whenand why nonblocking assignments should be used. This paper details how Verilog blocking andnonblocking assignments are scheduled, gives important coding guidelines to infer correctsynthesizable logic and details coding styles to avoid Verilog simulation race conditions
上传时间: 2013-11-01
上传用户:xzt
工业以太网是用于SIMATIC NET开放通讯系统地过程控制级和单元级的网络。物理上,工业以太网是一个基于屏蔽的、同轴双绞线的电气网络和光纤光学导线的光网络。工业以太网是由国际标准Ieee 802.3定义的。
上传时间: 2013-10-10
上传用户:璇珠官人
多年来,自动测试系统经历了从专用型向通用型、开放性的发展历程,ATS作为计算机技术的一个特定领域,一直都是紧随计算机技术的发展,而如今计算机技术发展到互联网阶段,信息模型的概念为测试领域发展带来新的阶段,Ieee 1641标准充分解决了ATE的互操作和TPS可移植的问题,最大限度地降低了ATS生命周期的维护费用,具有显著的军事及经济效应。
上传时间: 2013-10-13
上传用户:busterman
这个应用笔记说明如何利用可以作为电流/电压源并测量电流和电压的单一仪器来配置生产测试系统。2400系列数字源表就可以提供这种能力,它包括2400型数字源表、2410型高压数字源表以及2420型大电流数字源表。本文还对二极管三个主要参数测试进行了说明,并对测试系统和Ieee-488总线操作进行了介绍。
上传时间: 2013-11-24
上传用户:eastimage
网络化智能接口标准对于海洋观测系统的集成、海洋信息的实时获取和共享等具有非常重要的作用。介绍了Ieee 1451、OGC SWE和PUCK协议等三种国际海洋组织正在推广的接口标准,概括了它们的体系结构、重要内容及其发展状况,并对这三种标准协议进行分析和比较,最后提出了它们应用在海洋观测系统中的各自优势和侧重点,以及这三种标准存在的问题。
上传时间: 2013-12-01
上传用户:zwei41
Linux Wireless LAN Project 的目标是开发一个完整的,基于标准的无线 LAN系统,这个系统用的是GNU/Linux 操作系统。把这个项目同Linux 无线扩展和其它 Linux无线项目区分开的是,它完全基于 Ieee 802.11标准。
标签: Wireless Project Linux LAN
上传时间: 2013-12-17
上传用户:xinzhch
802.11b物理层的simulink建模。模型支持1Mbps, 2Mbps, 5.5Mbps, and 11Mbps 的模式,模型包括帧的生成,BPSK、QPSK调制,巴克码扩展,CCK以及信道频移量的选择和一个AWGN信道。 使用说明:先将压缩包解压缩到一个新文件夹中,改变matlab当前执行目录,然后运行WiFi.mdl文件。 压缩包中包括6个文件:WiFi.mdl,WiFi_lib.mdl,WiFi_init.m,cck_codes.mat,ber_test.m,test_level_1.m。 模型使用标准:Ieee Std 802.11b-1999, 来源于: http://standards.Ieee.org/reading/Ieee/std/lanman/
上传时间: 2014-08-05
上传用户:黑漆漆