虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

IPhone开发精品源码--某论坛客户端(微博客户端)

  • VIP专区-嵌入式/单片机编程源码精选合集系列(37)

    VIP专区-嵌入式/单片机编程源码精选合集系列(37)资源包含以下内容:1. at91sam64编程实例.2. 一个用asm写的操作系统原型 很适合大家学习学习.3. 本文详细介绍了学习FREEBSD的一些命令.4. 一个12路串口+3路Socket口的数据收发程序 嵌入式ucLinux操作系统下调试通过.5. c语言中写二进制数。引用例子: #define Bin(n) LongToBin(0x##n##l) void main(void) { unsigned char c c =.6. 此程序是利用2051单片机P1.0,P1.1精密比较器功能实现a/d转换通过P1.0电位器调节.7. 《KEIL C51 Vision2 中文入门教程》翻译.8. 基于单片机、nrf24e1发射接受程序.9. 一个用于控制LCD panel显示的驱动程序。控制LCD读写和显示控制。.10. libminigui-1.3.3.tar.gz。 对想学习miniGUI的朋友这是非常好的自学资料!.11. libminigui-1.3.0.tar.gz。 miniGUI的库函数源代码!.12. 8255 输入、输出实验的汇编程序清单         方式0.13. 深圳金鹏液晶自带汉字显示模块4*15D的使用例程.14. Keyboard Source code for 68HC705J1A,供胡次使用68HC705J1A.15. 用于小商品消费的SMS消费机程序.16. 是上一个SMS消费机的充值机程序.17. 以上几个手持机的初始化程序.18. 68K328手持开发说明文档.19. 这是一个ARM的实验。主要是使用PWM6输出一个固定占空比的单边沿控制PWM信号.20. 这是一个语音电压表.21. 具有原始语音播放功能.22. 是一个按键控制的录音笔.23. 是一个飞翔的小鸟.24. 用汇编和C编写的.25. 卷积码的C源程序.26. 1.在发送端通过一个编码系统对待传数据预先编码.27. lcd液晶显示器的单片机地层驱动程序以及字符点阵生成器,刚刚做完的项目,希望对大家有用.28. ActiveTcl is ActiveState s quality-assured distribution of Tcl, available for AIX, HP-UX, Linux, Mac.29. 是TCL的另外一个编译(解释)器.30. AT88SC102及AT88SC1604 IC卡的读写(C51编写)测试表明程序工作可靠.31. i2c软件包, 很有用, 也非常简单.32. 一个德国人用汇编写的基于15个采样点的dcc编码器.33. 一个德国人写的基于15各采样点的dcc解码器.34. 本程序是针对T6963C控制器的LCD接口的驱动程序。.35. key(键盘子程序).36. s_serial(c51用io口模拟串口).37. PS键盘与单片机连接.38. 在51移植的ucos2源代码 UCOS2_KEIL.39. 单片机自发自收CAN通讯 c51_can_self.40. 日立单片机实现IIC的的程序,由C语言写出,已经调试通过,可以放心使用.

    标签: 工程图

    上传时间: 2013-06-02

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(38)

    VIP专区-嵌入式/单片机编程源码精选合集系列(38)资源包含以下内容:1. 看看名字就应该知道是干什么用的了吧! 这可是个好东东!我搜索了很久才找到的! 独乐乐.2. 51单片机c语言中断的实现 还有很多例子可以参考。包括常用的中断模块 c语言单片机开发很有用.3. 这是利用C51语言编写的读写AT24C512的程序.4. 这是利用CYPRESS CY7C63723汇编语言编写的读写AT24C512的程序.5. 这个是我参考过的多级菜单.6. 可以用H.264编码解码器源码(c语言).7. Application of Bootstrap Loader in MSP430 With Flash Hardware and Software Proposal.8. Features of the MSP430 Bootstrap Loader.9. Implementing a Real-Time Clock on the MSP430.10. Experiments for the MSP430 Starter Kit.11. Solid State Voice Recorder Using Flash MSP430.12. Application of Bootstrap Loader in MSP430 With Flash Hardware and Software Proposal.13. Interfacing the DAC8574 to the MSP430F449.14. Interfacing the MSP430 and TMP100 Temperature Sensor.15. Ultrasonic Distance Measurement With the MSP430.16. Implementing a Direct Thermocouple Interface With the MSP430x4xx and ADS1240.17. 24点汉字的C++实现代码.18. TC与BC++用户界面程序设计 《Turbo CBorland C++用户界面程序设计》(西安交通大学出版社 周升锋 李立新 等著)的随书软盘.19. 用VB通过并口控制I2C总线.20. pcb封装详解.21. uClinux 下MicroWindows开发的电机控制平台软件和模拟示波器的双路数据采集系统源码.22. 51单片机PID计算程序.23. 详细的介绍,以及其中库函数的描述说明,用法等.24. 日本人设计的电子热水瓶的全部嵌入式源码,很好的实例.25. 一个极好的192*64LCD的C源代码!.26. 51单片机.27. 自启动vxworks---一个自启动vx程序的开发文档 vx编程指南----vx的一些基本编程介绍和操作手册 tornado ---- tornado使用手册 linux内核分析 ----- l.28. EasyARM 程序 RTC_TEST.29. EasyARM 程序 uart_TEST.30. EasyARM 程序 SPI_TEST.31. EasyARM 程序 I2C_TEST.32. 一个resist的程序.33. 在nios环境下的LCD的包括测试.34. nios 环境下的软件编程.35. nios 的开发程序.36. 单片机与pc机.37. uIP0.9版本.38. lwip1.0.0协议栈tcpip.39. lwip在ucos上的移植.40. 网友张巍提供的tcpip协议栈,是一个成功稳定的以太网接口上的TCP/IP协议程序。里面包括有说明.

    标签: 光盘 机械行业 标准

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(39)

    VIP专区-嵌入式/单片机编程源码精选合集系列(39)资源包含以下内容:1. 这是有关SPI总线的一段读写程序.2. 这是一段MAX7219的驱动C51源程序.3. 利用msp430的通用I/O端口模拟I2c协议的源代码.4. 超级下载软件(progisp ver1.1)包括并口下载器与usb isp下载器的详细制作资料.5. MCS51产单片机上实现的tcp/ip,很全的哦,需要的可以参考一下..6. 嵌入式系统图形用户界面编程.7. 05嵌入式大会的部分演讲稿.8. 51系列对CH375模块读写.9. test for boundary scan and CPLD ics..10. 这是我个人再学习ARM7s3c2410的 时候用到的试验代码.11. 本人水品有限.12. 是在不好意思.13. 入门试验代码.14. pxros的使用说明.15. 在NIOS中利用C语言模拟I2C总线时序.16. ALTERA NIOS处理器实验.17. ALTERA NIOS处理器实验.18. ALTERA NIOS处理器实验.19. ALTERA NIOS处理器实验.20. ALTERA NIOS处理器实验.21. 随着高性能计算的需求.22. ADS1.2是一个使用方便的集成开发环境.23. AVR单片机嵌入式操作系统原代码.24. 有关rtos的书.25. 重要的汇编语言编程......和大家一起分享.26. AT91M55800A材料-BasicTimer.27. I2c代码.28. WINDOWS系统下灰度的BMP图片转换成黑白图片..29. 在WINDOWS CE.NET 系统中读RDP连接的用户名的密码..30. 嵌入式实时操作系统μCOS-在ARM上的移植应用.31. 在s3c44b0x运行的俄罗斯方块游戏 DOS参考代码.32. uCOS-II在C51下的一个完整的LCD项目源码.33. 石子归并问题:在一个圆形操场的四周摆放着N堆石子(N<= 100),现要将石子有次序地合并成一堆.规定每次只能选取相邻的两堆合并成新的一堆,并将新的一堆的石子数,记为该次合并的得分.编一程序,由.34. 单片机及嵌入式系统web实现的文章 很好的:MCU应用系统与Internet连接的一种新技术.35. 单片机发展趋势的文章: 从Cygnal C8051F看8位单片机发展之路 好.36. usb host在ARM7上的实现.37. 对arm300的一些简单的试验做了详细地说明和讲解.38. arm技术手册.39. arm7上开发usb的文档,说得非常好.40. 一个关于s1d13806的应用程序.

    标签: 机构 机械手

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(40)

    VIP专区-嵌入式/单片机编程源码精选合集系列(40)资源包含以下内容:1. epson公司的一个关于s1d13706的低层驱动程序.2. ads1.2的一个工程.3. 一些FPGA的扩展电路原理图.4. 一些DSP的c语言源程序.5. 凌阳单片机声控小车源码,对于想开发声控小车的人来说,是相当有用的.6. ATMEL的下载线制作和下载软件,有需要的可以来看.7. 一个比较老的C语言编译器,WAVE的老板本仿真器只能用他.8. LM8361、TMS3450、TMS1943数字钟电路.9. 该程序代码实现对Flash Rom AM29LV160烧写数据的功能.10. 嵌入PIC16F77的激光传感器程序RS485输出.11. PIC16F77单片机I2C读写程序.12. 这是家用摄像头的源程序.13. lwIP is a small independent implementation of the TCP/IP protocol suite that has been developed by.14. 详细的MiniGUI源代码.15. 日本的嵌入式实时操作系统UITRON3.0 该系统以得到几十亿片的应用业绩.16. IEC61131-3标准说明.17. 一线通讯协议的c51例子程序源代码.18. C字符串底层函数的实现。对一些想了解C的低层函数的人很有帮助.19. 使用vhdl语言编写的交通灯控制程序.20. 使用C语言编写的单片机控制LCD显示程序.21. 此程序是一底层开发驱动代码,里面包括了I2C芯片(MAX5417)及1 wire sensor、AD驱动的源代码!稍作改动就能用于自己的产品开发中!.22. 这是利用Mifare SDK开发的读写基于ISO14443标准RF卡的程序。.23. 这是用于Mifare卡开发的programmer reference和DLL文件.24. C51单片机用C语言编写的SPI例程.25. CPLD7256的例子程序.26. SUMSUNG S3C44B0X ARM7的一个RTC实时时钟的显示和修改的例程.27. 哈工大智能电动车软件的源代码.28. 这是有关单总线数字温度芯片DS18B20的keilC和ASM汇编的混合编程.29. 这是一个在51单片机上用汇编实现的除法程序。.30. Chapter 5 "Construction case with USB host system that handles USB controller SL811" sample progra.31. "TCP/IP + Ethernet network equipment design method" sample program.32. JAPAN "RTOS technology that learns with TOPPERS".33. JAPAN RTOS TOPPERS/JSP kernel 1.4 PreRelease simulation environment JSP kernel Windows simulation.34. 键盘扫描程序.35. pcb EMI 资料很好 是英文资料.36. PCB EMI 的防护的资料是英文的.37. pcb EMI 的防护的资料.38. pcb EMI 的防护的资料.39. pcb EMI 的防护的资料.40. pcb EMI 的防护的资料.

    标签: 液压系统 模拟机

    上传时间: 2013-06-12

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(41)

    VIP专区-嵌入式/单片机编程源码精选合集系列(41)资源包含以下内容:1. pcb EMI 的防护的资料.2. pcb EMI 的防护的资料.3. pcb EMI 的防护的资料.4. pcb EMI 的防护的资料.5. pcb EMI 的防护的资料.6. 引入事件驱动观念的抢占式多任务微型实时内核——MicroStar的设计与实现;提出基于事件的优先级这一新概念。.7. uC_OS2.52官方源代码 新人报道~~ 不知道能不能满足老大的要求.8. 本文章并不是程序.9. 日本著名的的嵌入式实时操作系统T-Kernel的源码及用户手册。.10. 利用单片机控制电流大小.11. 初学单片机.12. 双口RAM硬件和软件可靠性握手的实现 双口RAM硬件和软件可靠性握手的实现.13. 是本人的大学接口课程设计.14. Modbus通讯协议详解.15. C8051F单片机ADC0转换,大家可以学习参看!.16. s51系列芯片下载软件,可以在线烧写flash芯片.17. 基于SPCE061A的MiniOS源代码..18. This the completed iic communication program for LPC2200!.19. UART communication program for lpc.20. SPeex语音压缩算法的静态连接库和源代码.21. 基于AT89C2051和ISD2560的录放音系统设计 基于AT89C2051和ISD2560的录放音系统设计.22. PCF8563时钟芯片的C语言应用事例.23. 4X4键盘扫描程序.24. NOKIA手机液晶屏I3510的应用例程.25. AVR单片机内部EEPROM的编程方法。.26. DS18B20的操作读写源程序(7.3728MHZ晶震).27. 用AVR单片机做的LC测量仪。(ATMEGA8+GCC).28. M32+LCM12864源代码及相关资料.29. 使用3310液晶的数字频率计(AVR)..30. 用mega8模拟usb做下载线(AVR).31. 7920两行中文液晶显示屏的源程序及电路图 (ICC).32. LCD1602四线接口应用范例(AVR_GCC).33. s3c44b0x上实现的ucos+tftp.34. 周立功ARM开发板LPC2104 移植的PC服务代码.35. 周立功ARM开发板LPC2104 ZLG_CF驱动.36. 周立功ARM开发板LPC2104 ZLF_IP驱动.37. 周立功ARM开发板LPC2104 TCP/IP协议应用.38. 传感器中文手册.39. 基于F020单片机的应用程序.40. keil c 破解.

    标签: 固件

    上传时间: 2013-05-29

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(43)

    VIP专区-嵌入式/单片机编程源码精选合集系列(43)资源包含以下内容:1. 单片机利用霍尔元件册电机速度的c语言程序.2. ARM Image for uCOSII for lpc2131工程模板.3. 汉字库的实现 一.获取字库.exe 可以获得汉字库及英文字库 二.查看字库.exe 可以查看汉字库及英文字库的点阵显示效果 三.显示程序.h 获取字库点阵及显示的源代码 四.其它资料 相关的字.4. 2410上的I/O控制数码管的应用程序.5. NORTi3 is a realtime multitasking operating system conforming to the micro-ITRON 3.0 specification..6. ADE7758三相电能芯片读写源程序.7. AT91RM9200PQ208电路图.8. 嵌入式系统驱动开发.9. 嵌入式系统驱动程序.10. 数控电流源61控制程序 包含zlg7289的61控制程序.11. Open Jtag小组的产品。有了它.12. flash读写原代码.13. 设计一个可容纳四组参赛的数字式抢答器.14. ps2键盘的设计.15. 简明扼要地介绍了uIP TCP/IP协议堆栈的eCOG1端口。文中还描述了一 个应用于简单的web网页服务器的实例。 开放源uIP软件包为在不牺牲互操作性或RFC标准的条件下.16. 5单片机1实用系统.17. 此文档为采用FPGA实现的以太网MAC层.18. 一个用新方法实现的堆管理器.19. Xinx ISE 官方源代码盘第二章.20. Xilinx ISE 官方源代码盘 2.21. Xilinx Ise 官方源代码盘 第四章.22. Xilinx ISE 官方源代码盘 第五章.23. Xilinx ISE官方源代码盘 第六章.24. Epson 清零程序大全.25. Xilinx ISE 官方源代码盘第七章 Part1.26. Xilinx ISE 官方源代码盘第七章 Part 2.27. Xilinx ISE 官方源代码盘第八章.28. Xilinx ISE 官方源代码盘第九章.29. Xilinx ISE 官方源代码盘第十章.30. 是HD61202液晶显示汉字的完整程序代码.31. keil c 编写的tea5767HL 的程序代码.32. 这是关于vc5416dsk的文档其中有其原理图 为学vc54xdsp的好的参考资料.33. 这是关于PDIUSBD12usb接口开发的资料.34. 此为tidsp(vc54x)对语音音频采集压缩编码解码设计原理图及pcb图,非常具有参考价值.35. 心电采集设计,有源码,很不错,用MSP430做的,有用的可以下来.36. 改进版的红外遥控器解码程序 抗干扰能力非常强 至今未出现误触发.37. I2C总线LINUX驱动程序.38. CyPress的C8051F32X系列底层驱动(C语言)及上位机demo(vc环境).39. 微机接口实验程序 。。 。。 。。 。。 。。 。。 。。.40. 前有人传的imagewrite中少了文件ecc.c,根本不能编译成功,见图err,现把完整文件改名了上传.

    标签: 齿轮 几何

    上传时间: 2013-06-12

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(44)

    VIP专区-嵌入式/单片机编程源码精选合集系列(44)资源包含以下内容:1. 凌阳单片机扩展8255 为用户在中提供一个I/O 扩展的方法.2. 利用单片机的定时器.3. music接管0.5W扬声器,就可以唱歌了,如果你觉得不好听,就调节一下与时间有关了延迟涵数,看看有何变化..4. VHDL应用与开发实践 VHDL应用与开发实践.5. JamVM是一种很优秀的嵌入式Java虚拟机.6. OK系列PCI图象卡编程程序,MFC方式,压缩形式.7. 一种有效控制温度的智能温度记录仪.8. 这是关于VxWorks下IPv6协议的实现的硕士论文,欢迎分享.9. 很适用的PS/2源程序,欢迎大家下载. 目前比较流行.10. 汗诺塔.11. 手持点菜设备得一个嵌入式系统.12. 51 与fifoidt7202的控制编程 供大家参考.13. 8254 和串口 的程序 实际工程项目作了少许删改 大家参考.14. 这是一个4*4键盘控制液晶显示器的汇编语言程序。是用MICROCHIP的MPLAB IDE编写的。附有硬件连接方式。.15. 这是用PIC单片机控制键盘和APR9600芯片.16. 51单片机程序源码.17. 这是一个在minigui下面用來实现曲线动态显示功能的一段代碼.18. 该段代码实现了在arm2410的平台下使用gprs模块实现收发短消息.19. 该程序实现公园导游功能.20. 此程序实现了表达式求值.21. 5个单片机程序。TCP_IP.c带注解:凌阳单片机的。dds.c51:51单片机.22. 嵌入式系统及实时软件开发,好书希望大家喜欢.23. 嵌入式系统中Bootloader的设计与实现.24. 用神经网络来跟踪博弈过程.25. 用maxplus2实现的一种通用逻辑模块.26. 星光s3c44b0 ARM开发板的一些比较好的供学习的示例程序.27. TI TMS320C5000的算法设计标准 有源码.28. ucos gui原代码.29. cirrus的ep7312的各个测试程序.30. 一个在TI的MSP430单片机上移植UCOS2操作系统的例子.31. 一个在430单片机上移植UCGUI的例子.32. PLD编程笔记 使用abel语言的有关个人心得笔记.33. 关于pld编程笔记的进一步补充。 对前文错误有些纠正.34. 介绍ispexpert的使用方法.35. 步进电机的和序.36. N6221的单片程序.37. LED灯的一些源码.38. LCD液晶的应用程序.39. 步进电机的源代码.40. 串口通信的程序源代码.

    标签: 机床 夹具 设计手册

    上传时间: 2013-05-29

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(45)

    VIP专区-嵌入式/单片机编程源码精选合集系列(45)资源包含以下内容:1. 详细的介绍了minigui的原理及其在linux上的实现..2. c8051f04x_cn数据手册中文版c 8051f04x_cn数据手册中文版.3. 汇编时钟程序.4. 这是一个minweb原程序.5. 做液晶显示用的获得汉字字模区位码的软件和一些例城.6. 这是移植到hcs12上的UC/OS-ii程序 欢迎使用.7. 数据采集模拟端口选择.8. 用模拟比较器实现AD模拟转换.9. 用c语言编写的SPI总线在单片机中的应用源代码.10. 用c语言编写的计算器的源代码.11. 单片机液晶显示器点阵的实现.12. 键盘扫描输入.13. ATmega128L单片机 数据手册 ATmega128L微控制器.14. S3C44B0X全套培训资料及源代码 e008s3c44b0x.15. FreeRTOS ver3.4.2 免费Real Time OS..16. 转载别人的作为下载源.17. 程序为步进电机程序.18. 此程序为红绿灯程序.19. ad采集程序.20. cvavrc编译器函数库介绍,对各函数介绍比较全。.21. 这里是对80c196的一个概述.22. 这是Chipcon公司推出的最新板Zigbee开发软件平台RFSmart_Studio6.20。CC2430.23. 一个很好用的12864液晶显示屏的驱动源程序.24. 有关ARM的详细的参考资料.25. 该程序是周立功公司开发的在lpc系列ARM的基础上开发SD卡的相关程序以及对应pc机程序.26. Easy JTAG Driver.27. gdb使用详解.doc 嵌入式开发努力学习中!.28. PCI9054应用程序(VC)是用VC开发的对应的应用程序.29. ucos2 内核的详尽代码.30. 基于s3c44b0的测试程序.31. 基于nios软核处理器的FPGA嵌入式片上系统中的mp3算法.32. 日本很有名的一个嵌入式RTOS.33. 一个小的操作系统包括应用程序很有参考价值.34. 一个开放源代码的AVR单片机编程器.35. QF量子框架源码.36. LU矩阵分解单机版最新版本.37. 随机长生一些温度直.38. 嵌入式程序.39. vxworks嵌入式程序.40. C语言开发用开Z80芯片的汇编语言... 软件类别:其他程序 运行环境:Win9x/NT/2000/XP.

    标签: 机械制造 工艺

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(46)

    VIP专区-嵌入式/单片机编程源码精选合集系列(46)资源包含以下内容:1. 此代码是关于UC/OS移植到KEILC51的具体实现,希望给正在学习的朋友一点参考.2. 51定时器应用程序 适当修改可用于定时控制应用.3. C语言嵌入式系统编程修炼之道,很不错的关于如何用c语言开发嵌入式系统,值得一看,受益非浅.4. AT91SAM7s64调试笔记包括各种外围设备的环节.5. 51的一个DEMO,文件的内容包含原理图.6. LCD TV,uVision2 Project, (C) Keil Software.7. 一些ARM芯片的映射文件.8. 嵌入式liunux系统中的串口测试程序.9. 一个tcp/ip协议栈,带有PPP、IP、TCP、UDP等协议.10. java编写的记事本程序.11. splc501程序,有所需要的驱动程序和相应的演示程序.12. 44b0x测试程序.13. PCB设计问题集.14. 2410处理器bootloader,的初试化配置和 系统调用的寄存器配置函数.15. NAND FLASH通用的读写.16. Norflash通用的读写.17. 飞利浦公司的LPC935单片机复位程序的源码.18. philips的MPEG2编码芯片SAA6752的驱动程序源码.19. 这也是PHILIPS系列A/D解码芯片SAA7115的驱动程序源码.20. UCOSII下的DA实验,实验板为S3C44B0.21. UCOSII下的键盘实验.实验板子为S3C44B0.22. 数字系统高层次综合讲座的讲义.23. VLSI系统设计.24. i2c源码vhdl语言编写.25. 6502汇编 算术运算库 8bit乘以8bit.asm 8bit除以8bit.asm 16bit乘以16bit.asm.26. 串口通讯.27. 详细介绍了关于CF卡的存储结构.28. 有关HART通讯命令协议和使用说明.29. MC141585编程应用.30. 调协器读写程序.31. uCOS-II下的timer使用实例.高效的编程风格,很值得参考.32. 包括了ucosII在多个操作系统上的移植实例.33. 基于单片机实验装置的A/DD/A实验 实验台能够实现交通灯打印等.34. 多功能时钟.35. dds信号发生器.36. STC-Download/STC-ISP下载工具(STC89C51单片机在线下载器) 必须组件: SPComm(必须), VCLSkin(可以去掉) -----------------------.37. 好像没结果!液晶控制.38. 用51单片机实现的在屏幕上显视文字,外接一颗MT230OSDIC.39. PIC单片机上用C语言写的TW88TFT屏控制程序,有注释.40. 在51单片机上用C写的T100,T101TFT屏的控制程序,有OSD.

    标签: 机构 结构设计

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(47)

    VIP专区-嵌入式/单片机编程源码精选合集系列(47)资源包含以下内容:1. call mimasuo.2. 44B0X读写FALSH29LV160的程序.3. 一个带有图形界面的计算器程序.4. PLD可编程器件教学实验系统用户使用指南zhege 是我们自己翻译的一个指南.5. 本程序可以实现七种颜色循环显示, 而且LED七彩任意可变换..6. 单片机上显示中文的程序.7. 三星公司S3C44B0X开发板2000下的JTAG软件.8. u盘修复工具PTMD!! u盘修复工具ptmd.9. 羚羊语音播放.10. 汽车通讯控制程序 电子屏字符显示器.11. 这是一个扫描16个键并可返回32个键号的小MCU程序,它对16上按键分单按和长按两种情况做区分..12. 利用SL811开发U盘上载程序.13. 2.4G无线接受音频程序.14. 2.4G无线发射音频程序.15. 这个程序是用51单片机实现的蜂鸣器音乐程序.16. 这是一本介绍软件模块的书,可以用这些模块设计嵌入式系统..17. 嵌入式系统的C语言程序设计,本书适合于从事嵌入式研究的相关技术人员使用..18. 忆风主机管理系统 忆风主机管理系统 忆风主机管理系统.19. 用51单片机及MT8880制作的可以识别电话号码的ASM源程序。.20. 关于Exp7LCD控制方面的软件编程! 实现LCD的显示功能!通分了解LCD的工作原理!.21. 关于嵌入式载LED控制的编程代码! 能够对嵌入式控制LED方面作更深如的了解.22. 有关嵌入式在DA转换上的应用的源代码! 能够加深对嵌入式编程方法的理解!.23. 有关嵌入式在AD转换上的编程应用!能加深对AD转换的理解!.24. 有关在嵌入式编程方面对UDP上的应用! 能加深对UDP编程技术的理解!.25. 关于嵌入式语言编程在触摸屏的完整代码! 能加深对触摸屏原理的理解!.26. 代码名称:组合逻辑电路仿真器 代码说明:组合逻辑电路仿真器 工具/平台:VC++ 作者:上官晨寰 邮件地址:sgch1982@163.com.27. 1线通讯协议的c51例子程序,程序中有详细的注释.28. 关于arm开发的文档集合 有uCLinux ,usb应用,以及开发步骤等等,非常不错,.29. 单片机实现语音控制小车电路原理图和1个设计的文档说明,相信对想做语音控制的朋友很有帮助.30. 一个红外线遥控接收装置的图纸,协议和说明,以及具体所使用的芯片和编程资料,自己先顶1下~.31. 是关于集成运算放大器应用电路集,我找了很久的东西,里面非常的全面,特地拿出来共享,我想对硬件工程师来说没有人会不喜欢这个东东吧~用超星打开.32. 这个是有关存储ic的集合,包括eeprom,flash,ram等等,相信对做硬件的朋友是很珍贵的资料.33. 8051单片机控制液晶显示模块的程序。用的是北京青云创新LCM24064ZK(自带汉字库).34. 用STC89C58单片机做的数据采集和控制.35. 好文章!大家好好看吧!多处理器支持操作系统方面的.36. 好文章!大家好好看吧!多处理器支持操作系统方面的.37. 好文章!大家好好看吧!多处理器支持操作系统方面的.38. 基于单片机系统的8路数字电压表程序设计问题.39. lpc2132演示程序,同样是"基于硬件FIFO和缓冲队列的"串口收发演示.40. 来源于外国的开源rtos,用于小型mcu,支持优先级抢占调度.

    标签: 机械设计

    上传时间: 2013-04-15

    上传用户:eeworm