虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

IPC-A-610E-2010 中文版 电子组件的可接受性

  • 基于ARM和PSD的微小位移测量系统的设计

    随着电子技术的快速发展,嵌入式系统已经成为热点。嵌入式系统大量应用在自动控制、工业设备和家用电器当中。当前应用的产品常以嵌入式处理器的形式出现,常用的如PDA、交换机、路由器等。嵌入式的广泛应用大大提高了人们的生活水平。位置敏感探测器(Position Sensible Detector)是一种基于半导体PN结横向光电效应的光电器件。它具有分辨率高、响应速度快、信号处理电路相对简单等优点。我们经常将PSD应用在与位置、距离、位移、角度的微小测量有关的场合。本文选用了一维PSD作为系统的探测器,结合嵌入式技术,将PSD应用于微小位移测量,实现了对微小位移的检测。 本研究以PSD、ARM、PC机为核心完成了对位移测量系统的设计。以PSD为核心实现了对信号的转换,利用PSD结合光学三角测量法将位移信号转换成电压信号,然后对电压信号进行放大、滤波等处理之后交由A/D器件进行模数转换。以ARM为核心,主要实现了对数据的处理,存储和通信等功能。将取得的数字量信号通过特定的软件程序编程得到位移信号。以PC机为核心,利用VB6.0实现了对实验数据的显示。PC根据得到的值与设定值进行比较,根据这个差值我们可以对系统进行进一步的完善。分析了位移传感器技术、微处理器ARM和嵌入式操作系统的特点、优势和国内外的研究现状;而后介绍了微小位移测量系统的总体功能、系统的总体硬件框架;叙述了位置敏感探测器PSD的原理和结构,介绍了将PSD应用于位移测量的设计过程;在ARM最小系统的硬件平台下,结合PSD实现了整个系统的硬件设计;软件设计上,以uClinux操作系统作为软件平台,利用内核裁剪技术,移植了BOOTLOADER,设计了Linux驱动程序和应用程序;最后在系统进行调试的时候,对系统进行了必要的改进,主要是设计了相应的非线性补偿电路,利用MATLAB对实验数据进行了拟合与分析。通过实验数据表明,基于ARM和PSD的微小位移测量系统具有精度高,响应速度快,并且成本低等优点。

    标签: ARM PSD 位移测量

    上传时间: 2013-04-24

    上传用户:gcs333

  • 基于FPGA的数字化通用PWM控制器设计

    如今电力电子电路的控制旨在实现高频开关的计算机控制,并向着更高频率、更低损耗和全数字化的方向发展。现场可编程门阵列器件(FieldProgrammableGateArrays)是近年来崭露头角的一类新型集成电路,它具有简洁、经济、高速度、低功耗等优势,又具有全集成化、适用性强,便于开发和维护(升级)等显著优点。与单片机和DSP相比,FPGA的频率更高、速度更快,这些特点顺应了电力电子电路的日趋高频化和复杂化发展的需要。因此,在越来越多的领域中FPGA得到了日益广泛的发展和应用。  本文提出了一种采用现场可编程门阵列(FPGA)器件实现数字化通用PWM控制器的方案。该控制器能产生多路PWM脉冲,具有开关频率可调、各路脉冲间的相位可调、接口简单、响应速度快、易修改、可现场编程等特点,可应用于PWM的全数字化控制。文中对方案的实现进行了比较详细的论述,包括A/D采样控制、PI算法的实现、PWM波形的产生、各模块的工作原理等。  本文还提出一种新型ZCT-PWMBoost变换器,详细的分析了该变换器的工作过程,并采用基于FPGA的数字化通用PWM控制器对这种软开关Boost变换器进行控制,给出了比较完满的实验结果。实验结果验证了该控制器以及该ZCTBoost变换器的可行性和有效性,

    标签: FPGA PWM 数字化 制器设计

    上传时间: 2013-07-10

    上传用户:x4587

  • 基于FPGA的有限冲激响应数字滤波器的研究及实现

    数字滤波作为数字信号处理技术的重要组成部分,广泛应用于诸如信号分离、恢复、整形等多种场合中,本文讨论的FIR滤波器因其具有严格的线性相位特性而得到广泛的应用。在工程实践中,往往要求信号处理具有实时性和灵活性,但目前常用的一些软件或硬件实现方法则难以同时达到两方面的要求。 可编程逻辑器件是一种用户根据需要而自行构造逻辑功能的数字集成电路。本课题研究FIR的FPGA解决方案体现电子系统的微型化和单片化,主要完成的工作如下: (1)以FIR滤波器的基本理论为依据,研究适应工程实际的数字滤波器的设计方法: (2)对分布式算法进行了较为深入的研究。在阐述算法原理的基础上,分析了利用FPGA特有的查找表结构完成这一运算的方法,从而解决了常系数乘法运算硬件实现的问题; (3)以—FIR低通滤波器为例说明FIR数字滤波器的具体实现方法,采用层次化、模块化、参数化的设计思想,完成对整个FIR滤波器的功能模块的划分,以及各个功能模块的具体设计; (4)设计参数可调的FIR低通滤波器的硬件电路:以EPFlK50TCl44-l为核心,包括A/D转换电路、D/A转换电路以及在系统配置电路等。以话音作为输入信号,进行了实际滤波效果的测试。 实验系统的测试结果表明,和传统的数字滤波器相比较具有更好的实时性、准确性、灵活性和实用性。

    标签: FPGA 冲激响应 数字滤波器

    上传时间: 2013-07-19

    上传用户:sjyy1001

  • 基于多相滤波的宽带DDC及其FPGA实现

    随着现代雷达技术的不断发展,电子侦察设备面临电磁环境日益复杂多变,发展宽带化、数字化、多功能、软件化的电子侦察设备已是一项重要的任务.然而,目前的宽带A/D与后续DSP之间的工作速率总有一到两个数量级的差别,二者之间的瓶颈成为电子侦察系统数字化的最大障碍.通信领域软件无线电的成功应用为电子侦察系统的发展提供了一种理想模式.另一方面,微电子技术的快速发展,以及FPGA的广泛应用,在很大程度上影响了数字电路的设计与开发.这也为解决高速A/D与DSP处理能力之间的矛盾提供了一种有效的解决方法.为了解决宽带A/D与后续DSP之间的瓶颈问题,本文给出了一种基于多相滤波的宽带数字下变频结构,并从软件无线电原理出发,从理论推导和计算机仿真两方面对该结构进行了验证,并进一步给出该结构改进方案以及改进的多相滤波数字下变频结构的硬件实现方法.本文将多相滤波下变频的并行结构应用到数字下变频电路中,并在后继的混频模块中也采用并行混频的方式来实现,不仅在一定程度上解决了二者之间的瓶颈问题,同时也大大提高了实时处理速度.经过多相滤波下变频处理后的数据,在速率和数据量上都有大幅减少,达到了现有通用DSP器件处理能力的要求.另外,本人还用FPGA设计了实验电路,利用微机串口,与实验目标板进行控制和数据交换.利用FPGA的在线编程特性,可以方便灵活的对各种实现方法加以验证和比较.

    标签: FPGA DDC 多相滤波 宽带

    上传时间: 2013-04-24

    上传用户:moerwang

  • 基于FPGA的雷达信号数字接收机的实现

    在雷达信号侦察中运用宽带数字接收技术是电子侦察的一个重要发展方向。数字信号处理由于其精度高、灵活性强、以及易于集成等特点而应用广泛。电子系统数字化的最大障碍是宽带高速A/D变换器的高速数据流与通用DSP处理能力的不匹配。而FPGA的广泛应用,为解决上述矛盾提供了一种有效的方法。 本文利用FPGA技术,设计了具备高速信号处理能力的宽带数字接收机平台,并提出了数字接收机实现的可行性方法,以及对这些方法的验证。具体来说就是如何利用单片的FPGA实现对雷达信号并行地实时检测和参数估计。所做工作主要分为两大部分: 1、适合于FPGA硬件实现的算法的确定及仿真:对A/D采样信号采用自相关累加算法进行信号检测,利用信号的相关性和噪声的独立性提高信噪比,通过给出检测门限来估计信号的起止点。对于常规信号的频率估计,采用Rife算法。通过Matlab仿真,表明上述算法在运算量和精度方面均有良好性能,适合用作FPGA硬件实现。 2、算法的FPGA硬件实现:针对原算法中极大消耗运算量的相关运算,考虑到FPGA并行处理的特点,将原算法修改为并行相关算法,并加入流水线,这样处理极大地提高了系统的数据吞吐率。采用Xilinx公司的Virtex-4系列中的XC4VSX55芯片作为开发平台完成设计,系统测试结果表明,本设计能正常工作,满足系统设计要求。 文章的最后,结合系统设计给出几种VHDL优化方法,主要围绕系统的速度、结构和面积等问题展开讨论。

    标签: FPGA 雷达信号 数字接收机

    上传时间: 2013-06-25

    上传用户:songnanhua

  • 基于FPGA的调制解调器

    当今电子系统的设计是以大规模FPGA为物理载体的系统芯片的设计,基于FPGA的片上系统可称为可编程片上系统(SOPC)。SOPC的设计是以知识产权核(IPCore)为基础,以硬件描述语言为主要设计手段,借助以计算机为平台的EDA工具进行的。 本文在介绍了FPGA与SOPC相关技术的基础上,给出了SOPC技术开发调制解调器的方案。在分析设计软件Matlab/DSP(Digital Signal Processing)。builder以及Quartus Ⅱ开发软件进行SOPC(System On a Programmable Chip)设计流程后,依据调制解调算法提出了一种基于DSP Builder调制解调器的SOPC实现方案,模块化的设计方法大大缩短了调制解调器的开发周期。 在SOPC技术开发调制解调器的过程中,用MATLAB/Simulink的图形方式调用Altera DSP Builder和其他Simulink库中的图形模块(Block)进行系统建模,在Simulink中仿真通过后,利用DSP Builder将Simulink的模型文件(.mdl)转化成通用的硬件描述语言VHDL文件,从而避免了VHDL语言手动编写系统的烦琐过程,将精力集中于算法的优化上。 基于DSP Builder的开发功能,调制解调器电路中的低通滤波器可直接调用FIRIP Core,进一步提高了开发效率。 在进行编译、仿真调试成功后,经过QuartusⅡ将编译生成的编程文件下载到ALTERA公司Cyclone Ⅱ系列的FPGA芯片EP2C5F256C6,完成器件编程,从而给出了一种调制解调器的SOPC系统实现方案。

    标签: FPGA 调制解调器

    上传时间: 2013-05-28

    上传用户:koulian

  • 基于FPGA的旋转变压器解码算法

    由于旋转变压器的高精度高可靠性等特点,广泛的应用于如航空、航天、船舶、兵器、雷达、通讯等领域。旋转变压器输出模拟量交流信号,经过数字处理转换为数字角度信号才能进入计算机或其他控制系统,而这种数字处理比较复杂,采用专用的旋转变压器解码芯片想达到理想的精度通常需要较高的成本,限制了它在其他领域的应用。传统的角测量系统面临的问题有:体积、重量、功耗偏大,调试、误差补偿试验复杂,费用较高。 现场可编程门阵列(FPGA)是近年来迅速发展起来的新型可编程器件。随着它的不断应用和发展,也使电子设计的规模和集成度不断提高。同时也带来了电子系统设计方法和设计思想的不断推陈出新。 本文的目的是研究利用FPGA实现旋转变压器的硬件解码算法,设计基于FPGA的旋转变压器解码系统。 在本文所设计的系统中,通过FPGA芯片产生旋转变压器的激励信号,再控制A/D转换器对旋转变压器的模拟信号的数据进行采样和转换,并对转换完的数据进行滤波处理,使用基于CORDIC算法流水线结构设计的反正切函数模块解算出偏转角θ,最后通过串行口将解算的偏差角数据输出。本文还分析了该系统误差产生的原因和提高系统精度的方法。 实验结果表明,本文所设计的旋转变压器解码器的硬件组成和软件实现基本能够较精确的完成上述的信号转换和数据运算。

    标签: FPGA 旋转变压器 解码 算法

    上传时间: 2013-05-23

    上传用户:gdgzhym

  • 中颖单片机的电子血压计应用

    本应用文档首先简单概述电子血压计的工作原理。然后讲解了使用中颖单片机的硬件方案与软件编写流程

    标签: 中颖单片机 电子血压计

    上传时间: 2013-07-26

    上传用户:yt1993410

  • 基于SCA的可移植FPGA波形结构及组件

    软件通信体系架构(SCA)可以实现一个具有开放性、标准化、模块化的通用软件无线电平台,从而使软件无线电平台的成本得到显著降低,应用灵活性得到极大增强。虽然SCA通过CORBA机制很好地解决了通用处理器设备波形组件的互连互通和可移植问题,但是这种机制不能很好地适用于FPGA这种专用处理器。随着FPGA处理性能的不断提升,它在SCA系统中的作用越来越突出。因此,如何在SCA系统中很好地集成FPGA波形,如何提高FPGA波形的可移植性就成为当前软件无线电研究领域中一个非常重要的研究课题。    论文首先通过对现有的旨在解决FPGA波形可移植性的协议和规范进行了研究,深入分析了它们的优缺点。接下来对MHAL规范、CP289协议、OCP接口规范中的方法加以融合和优化,提出了新的FPGA可移植波形结构。这个结构既为FPGA波形设计了标准的通信接口,又实现了波形应用的分离,同时还通过OCP接口实现了波形组件运行环境的标准化,真正实现了波形的可移植。    其次,论文根据提出的波形结构,结合CP289协议中的操作要求,在原本过于简单的MHAL消息格式的基础上进行了细化,同时具体给出了MHAL消息封装结构和MHAL消息解析结构的处理流程,实现了FPGA波形在SCA系统中的标准通信。论文通过对CP289协议的深入研究,结合实际工程应用,提出了具体化的容器结构,并进一步进行了容器中组件控制模块、互连模块和本地服务模块的设计,实现了波形应用的分离。论文以OCP规范为基础,依据CP289协议中对组件接口的约束,设计了几种典型的组件OCP接口,使得波形组件设计与系统实现相分离,并真正实现了波形运行环境的标准化。    最后,论文根据所设计的波形结构和组件接口设计了一个FPGA验证波形,通过波形的实现,证明FPGA波形组件可以像GPP波形组件一样可加载、可装配、可部署、可装配,验证了论文所设计的FPGA波形是与SCA兼容的。另外,通过对波形组件移植试验,验证了所设计的波形结构和组件接口能够为波形组件提供很好的可移植性。   

    标签: FPGA SCA 移植 波形

    上传时间: 2013-04-24

    上传用户:moonkoo7

  • 算法FPGA实现的直接数字频率合成器

    高精度的信号源是各种测试和实验过程中不可缺少的工具,在通信、雷达、测量、控制、教学等领域应用十分广泛。传统的频率合成方法设计的信号源在功能、精度、成本等方面均存在缺陷和不足,不能满足电子技术的发展要求,直接数字合成(Direct Digital Synthesis)DDS技术可以提供高性能、高频高精度的信号源,方便地获得分辨率高且相位连续的信号,基于FPGA的DDS技术提供了升级方便并且成本低廉的解决方案。    本文对DDS的基本原理和输出频谱特性进行理论分析,总结出杂散分布规律。同时以DDS的频谱分析为基础,给出了几种改善杂散的方法。本文结合相关文献资料采用傅立叶变换的方法对相位截断时DDS杂散信号的频谱特性进行了研究,得到了杂散分布的规律性结论,并应用在程序设计程中;DDS技术的实现依赖于高速、高性能的数字器件,本文将FPGA器件和DDS技术相结合,确定了FPGA器件的整体设计方案,详细说明了各个模块的功能和设计方法,并对其关键部分进行了优化设计,从而实现了波形发生器数字电路部分的功能。软件部分采用模块设计方法,十分方便调试。为了得到满足设计要求的模拟波形,本文还设计了幅度调节、D/A转换和低通滤波等外围硬件电路。    实验结果表明,本文设计的基于DDS技术的多波形信号源基本能够满足普通学生实验室的要求。

    标签: FPGA 算法 数字频率合成器

    上传时间: 2013-06-11

    上传用户:woshiayin