虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

IP包处理

  • 基于FPGA的通用实时信号处理系统的硬件设计与实现.rar

    近年来,以FPGA为代表的数字系统现场集成技术取得了快速的发展,FPGA不但解决了信号处理系统小型化、低功耗、高可靠性等问题,而且基于大规模FPGA单片系统的片上可编程系统(SOPC)的灵活设计方式使其越来越多的取代ASIC的市场。传统的通用信号处理系统使用DSP作为处理核心,系统的可重构型不强,FPGA解决了这一问题,并且现有的FPGA中,多数已集成DSP模块,结合FPGA较强的信号并行处理特性使其与DSP信号处理能力差距很小。因此,FPGA作为处理核心的通用信号处理系统具有很强的可实施性。 @@ 基于上述要求,作者设计和完成了一个基于多FPGA的通用实时信号处理系统。该系统采用4片XC3SD1800A作为处理核心,使用DDR2 SDRAM高速存储实时数据。作者通过全面的分析,设计了核心板、底板和应用板分离系统架构。该平台能够根据实际需求进行灵活的搭配,核心板之间的数据传输采用了LVDS(低电压差分信号)技术,从而使得数据能够稳定的以非常高的速率进行传输。 @@ 本系统属于高速数字电路的设计范畴,因此必须重视信号完整性的设计与分析问题,作者根据高速电路的设计惯例和软件辅助设计的方法,在分析和论证了阻抗控制、PCB堆叠、PCB布局布线等约束的基础上,顺利地完成了PCB绘制与调试工作。 @@ 作为系统设计的重要环节,作者还在文中研究了在系统设计过程中出现的电源完整性问题,并给出了解决办法。 @@ LVDS高速数据通道接口和DDR2存储器接口设计决定本系统的使用性能,本文基于所选的FPGA芯片进行了详细的阐述和验证。并结合系统的核心板和底板,完成了应用板,视频图像采集、USB、音频、LCD和LED矩阵模块显示等接口的设计工作,对其中的部分接口进行了逻辑验证。 @@ 经过测试,该通用的信号处理平台具有实时性好、通用性强、可扩展和可重构等特点,能够满足当前一些信号处理系统对高速、实时处理的要求,可以广泛应用于实时信号处理领域。通过本平台的研究和开发工作,为进一步研究和设计通用、实时信号处理系统打下了坚实的基础。 @@关键词:通用实时信号处理;FPGA;信号完整性;DDR2;LVDS

    标签: FPGA 实时信号 处理系统

    上传时间: 2013-05-27

    上传用户:qiaoyue

  • DDR2控制器IP的设计与FPGA实现.rar

    DDR2 SDRAM是目前内存市场上的主流内存。除了通用计算机系统外,大量的嵌入式系统也纷纷采用DDR2内存,越来越多的SoC系统芯片中会集成有DDR2接口模块。因此,设计一款匹配DDR2的内存控制器将会具有良好的应用前景。 论文在研究了DDR2的JEDEC标准的基础上,设计出DDR2控制器的整体架构,采用自项向下的设计方法和模块化的思想,将DDR2控制器划分为若干模块,并使用Verilog HDL语言完成DDR2控制器IP软核中初始化模块、配置模块、执行模块和数据通道模块的RTL级设计。根据在设计中遇到的问题,对DDR2控制器的整体架构进行改进与完善。在分析了Altera数字PHY的基本性能的基础上,设计DDR2控制器与数字PHY的接口模块。搭建DDR2控制器IP软核的仿真验证平台,针对设计的具体功能进行仿真验证,并实现在Altera Stratix II GX90开发板上对DDR2存储芯片基本读/写操作控制的FPGA功能演示。 论文设计的DDR2控制器的主要特点是: 1.支持数字PHY电路,不需要实际的硬件电路就完成DDR2控制器与DDR2存储芯片之间的物理层接口,节约了设计成本,缩小了硬件电路的体积。 2.将配置口从初始化模块中分离出来,简化了具体操作。 3.支持多个DDR2存储芯片,使得DDR2控制器的应用范围更为广阔。 4.支持DDR2的三项新技术,充分发挥DDR2内存的特性。 5.自动DDR2刷新控制,方便用户对DDR2内存的控制。

    标签: DDR2 FPGA 控制器

    上传时间: 2013-06-10

    上传用户:ynzfm

  • 基于FPGA的PID智能控制器的研究.rar

    工业生产过程往往具有非线性、不确定性,难以建立精确的数学模型。应用常规的PID控制器难以达到理想的控制效果。作为的重要分支,人工神经网络具有良好的非线性映射能力和高度的并行信息处理能力,已成为非线性系统建模、辨识和控制中常用的理论和方法。其中,神经元具有很强的信息综合、学习记忆、自学习和自适应能力,可以处理那些难以用模型和规则描述的过程,将神经元与PID结合,应用到实际的控制中,可以在线调整PID的参数,使系统具有较强的抗干扰能力、自适应能力和较好的鲁棒性。 目前,人工神经网络的研究主要是神经网络的理论研究、神经网络的应用研究和神经网络的实现技术研究,这三方面是相互依赖和相互促进的关系。本文主要侧重的是神经网络的实现技术研究方面,创新性地利用FPGA嵌入式系统开发技术实现单神经元PID智能控制器的研究与设计,并将其封装成为一个专用的IP核供其他的控制系统使用。 首先,对单神经元PID智能控制器的设计原理和设计算法进行了深入的研究与分析;其次,利用MATLAB设计单神经元PID智能控制器,针对特定的被控对象,对其进行仿真实验,获得比较理想的系统输出;然后,研究基于FPGA的单神经元智能控制算法的实现,对控制器进行VHDL语言分层设计,使用Altera公司的软件QuartusⅡ6.1进行仿真实验。两个仿真实验结果表明,基于FPGA的单神经元智能控制器比MATLAB设计的单神经元PID智能控制器性能优良。 本文的设计模块主要包括权值修改模块、误差计算模块、权值产生模块和输出模块。在各个模块的设计中进行了优化处理,使本文的设计不仅利用的硬件资源少,而且也有很快的运行速度,同时也改善了传统控制器的控制性能。

    标签: FPGA PID 智能控制器

    上传时间: 2013-04-24

    上传用户:13517191407

  • 基于FPGA的实时图像采集与处理系统研究.rar

    随着数码技术的不断发展,数字图像处理的应用领域不断扩大,其实时处理技术成为研究的热点。VLSI技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA(现场可编程门阵列)的特点使其非常适用于进行一些基于像素级的图像处理。 传统的图像显示系统必须连接到PC才能观察图像视频,存在着高速实时性、稳定性问题。本设计脱离高清晰工业相机必须与PC连接才可以观看到高清晰图像的束缚,实现系统的小型化。针对130万像素彩色1/2英寸镁光CMOS图像传感器,提出用硬件实现Bayer格式到RGB格式转换的设计方案,完成由黑白图像到高清彩色图像的转换,用SDRAM作缓存,输出标准VGA信号,可直接连接VGA显示器、投影仪等设备进行实时的视频图像观看,与模拟相机740X576分辨率(480线)图像相比,设计图像画质相当于1280X1024分辨率(750线),最高帧率25fps,整个结构应用FPGA作为主控制器,用少量的缓存代替传统的大容量存储,加快了运算速率,减小了电路规模,满足图像实时处理的要求,使展现出来的视频图像得到质的飞跃。可以广泛应用于工业控制和远程监控等领域。 论文研究的重点是采用altera公司EP2C芯片前端驱动CMOS图像传感器,实时采集Bayer图像象素,分析研究CFA图像插值算法,实现了基于FPGA的实时线性插值算法,能够对输入是每像素8bit、分辨率为1280×1204的Bayer模式图像数据进行实时重构,输出彩色RGB图像。由端口FIFO作为数据缓冲,存储一帧图像到高速SDRAM,构建VGA显示控制器,实现对输入是每像素24bit(RGB101010)、分辨率为640×480、帧频25HZ彩色图像进行实时显示。 整个模块结构包括电源模块单元等、CMOS成像单元、FPGA数据处理单元、SDRAM控制单元、VGA显示接口单元。 最后,对系统进行了调试。经实验验证,系统达到了实时性,能正确和可靠的工作。整个设计模块能够满足高帧率和高清晰的实时图像处理,占用系统资源很少,用较少的时间完成了图像数据的转换,提高了效率。

    标签: FPGA 实时图像采集 与处理系统

    上传时间: 2013-06-08

    上传用户:zhengjian

  • 基于FPGA的数字信号处理算法研究与高效实现.rar

    现代数字信号处理对实时性提出了很高的要求,当最快的数字信号处理器(DSP)仍无法达到速度要求时,唯一的选择是增加处理器的数目,或采用客户定制的门阵列产品。随着可编程逻辑器件技术的发展,具有强大并行处理能力的现场可编程门阵列(FPGA)在成本、性能、体积等方面都显示出了优势。本文以此为背景,研究了基于FPGA的快速傅立叶变换、数字滤波、相关运算等数字信号处理算法的高效实现。 首先,针对图像声纳实时性的要求和FPGA片内资源的限制,设计了级联和并行递归两种结构的FFT处理器。文中详细讨论了利用流水线技术和并行处理技术提高FFT处理器运算速度的方法,并针对蝶形运算的特点提出了一些优化和改进措施。 其次,分析了具有相同结构的数字滤波和相关运算的特点,采用了有乘法器和无乘法器两种结构实现乘累加(MAC)运算。无乘法器结构采用分布式算法(DA),将乘法运算转化为FPGA易于实现的查表和移位累加操作,显著提高了运算效率。此外,还对相关运算的时域多MAC方法及频域FFT方法进行了研究。 最后,完成了图像声纳预处理模块。在一片EP2S60上实现了对160路信号的接收、滤波、正交变换以及发送等处理。实验表明,本论文所有算法均达到了设计要求。

    标签: FPGA 数字信号处理 算法研究

    上传时间: 2013-06-09

    上传用户:zgu489

  • 基于FPGA的硬件防火墙设计和实现.rar

    本文提出了一种基于FPGA的硬件防火墙的实现方案,采用了FPGA来实现千兆线速的防火墙。传统的基于X86等通用CPU的防火墙无法支撑快速增长的网络速度,无法实现线速过滤和转发。本文在采用FPGA可编程器件+通用CPU模式下,快速处理网络数据。网络数据在建立连接跟踪后,直接由FPGA实现的快速处理板直接转发,实现了网络数据的线速处理,通用CPU在操作系统支持下,完成网络数据的连接跟踪的创建、维护,对网络规则表的维护等工作。FPGA硬件板和CPU各司所长,实现快速转发的目的。 本文设计了基于FPGA的硬件板的硬件规格,提出了硬件连接跟踪表的存储模式,以及规则表的存储模式和定义等; 防火墙系统软件采用NetBSD操作系统,完成了硬件板的NetBSD的驱动;在软件系统完成了新建连接的建立、下发、老化等工作;在连接跟踪上完成了规则的建立、删除、修改等工作。 本文完成了防火墙的实现。实现了基于连接跟踪的包过滤、地址转换(NAT),设计了连接跟踪的关键数据结构,包过滤的关键数据结构等,重用了NetBSD操作系统的路由。本文针对地址转换应用程序的穿透问题,新增了部分实现。 在DoS攻击是一种比较常见的攻击网络手段,本文采用了软硬件结合的方法,不仅在软件部分做了完善,也在硬件部分采取了相应的措施,测试数据表明,对常见的Syn洪水攻击效果明显。 在实践过程中,我们发现了NetBSD操作系统内核的软件缺陷,做了修正,使之更完善。 经过测试分析,本方案不仅明显的优于X86方案,和基于NP方案、基于ASIC方案比较,具有灵活、可配置、易升级的优点。

    标签: FPGA 硬件 防火墙

    上传时间: 2013-06-21

    上传用户:zxh1986123

  • 基于JTAG和FPGA的嵌入式SOC验证系统研究与设计.rar

    随着半导体制造技术不断的进步,SOC(System On a Chip)是未来IC产业技术研究关注的重点。由于SOC设计的日趋复杂化,芯片的面积增大,芯片功能复杂程度增大,其设计验证工作也愈加繁琐。复杂ASIC设计功能验证已经成为整个设计中最大的瓶颈。 使用FPGA系统对ASIC设计进行功能验证,就是利用FPGA器件实现用户待验证的IC设计。利用测试向量或通过真实目标系统产生激励,验证和测试芯片的逻辑功能。通过使用FPGA系统,可在ASIC设计的早期,验证芯片设计功能,支持硬件、软件及整个系统的并行开发,并能检查硬件和软件兼容性,同时还可在目标系统中同时测试系统中运行的实际软件。FPGA仿真的突出优点是速度快,能够实时仿真用户设计所需的对各种输入激励。由于一些SOC验证需要处理大量实时数据,而FPGA作为硬件系统,突出优点是速度快,实时性好。可以将SOC软件调试系统的开发和ASIC的开发同时进行。 此设计以ALTERA公司的FPGA为主体来构建验证系统硬件平台,在FPGA中通过加入嵌入式软核处理器NIOS II和定制的JTAG(Joint Test ActionGroup)逻辑来构建与PC的调试验证数据链路,并采用定制的JTAG逻辑产生测试向量,通过JTAG控制SOC目标系统,达到对SOC内部和其他IP(IntellectualProperty)的在线测试与验证。同时,该验证平台还可以支持SOC目标系统后续软件的开发和调试。 本文介绍了芯片验证系统,包括系统的性能、组成、功能以及系统的工作原理;搭建了基于JTAG和FPGA的嵌入式SOC验证系统的硬件平台,提出了验证系统的总体设计方案,重点对验证系统的数据链路的实现进行了阐述;详细研究了嵌入式软核处理器NIOS II系统,并将定制的JTAG逻辑与处理器NIOS II相结合,构建出调试与验证数据链路;根据芯片验证的要求,设计出软核处理器NIOS II系统与PC建立数据链路的软件系统,并完成芯片在线测试与验证。 本课题的整体任务主要是利用FPGA和定制的JTAG扫描链技术,完成对国产某型DSP芯片的验证与测试,研究如何构建一种通用的SOC芯片验证平台,解决SOC验证系统的可重用性和验证数据发送、传输、采集的实时性、准确性、可测性问题。本文在SOC验证系统在芯片验证与测试应用研究领域,有较高的理论和实践研究价值。

    标签: JTAG FPGA SOC

    上传时间: 2013-05-25

    上传用户:ccsp11

  • 基于DSP和FPGA导航计算机硬件电路研究与设计.rar

    为适应组合导航计算机系统的微型化、高性能度的要求,拓宽导航计算机的应用领域,本文设计出一种基于浮点型DSP(TMS320C6713)和可编程逻辑阵列器件(FPGA: EP1C12N240C8)协同合作的导航计算机系统。 论文在阐述了组合导航计算机的特点和应用要求后,提出基于DSP和FPGA的组合导航计算机系统方案。该方案以DSP为导航解算处理器,由FPGA完成IMU信号的采集和缓存以及系统控制信号的整合;DSP通过EMIF接口实现和FPGA通信。在此基础上研究了各扩展通信接口、系统硬件原理图和PCB的开发,且在FPGA中使用调用IP核来实现FIR低通滤波数据处理机抖激光陀螺的机抖振动的影响。其次,详细阐述了利用TI公司的DSP集成开发环境和DSP/BIOS准实时操作系统开发多任务系统软件的具体方案。本文引入DSP/BIOS实时操作系统提供的多任务机制,将采集处理按照功能划分四个相对独立的任务,这些任务在DSP/BIOS的调度下,按照用户指定的优先级运行,大大提高系统的工作效率。最后给了DSP芯片Bootloader的制作方法。 导航计算机系统研制开发是软、硬件研究紧密结合的过程。在微型导航计算机系统方案建立的基础上,本文首先讨论了系统硬件整体设计和软件开发流程;其次针对导航计算机系统各个功能模块以及多项关键技术进行了设计与开发工作,涉及系统数据通信模块、模拟信号采集模块和数据存储模块;最后,对导航计算机系统进行了联合调试工作,并对各个模块进行了详细的功能测试与验证,完成了微型导航计算机系统的制作。 以DSP/FPGA作为导航计算机硬件平台的捷联式惯性导航实时数据系统能够满足系统所要求的高精度、实时性、稳定性要求,适应了其高性能、低成本、低功耗的发展方向。

    标签: FPGA DSP 导航计算机

    上传时间: 2013-04-24

    上传用户:lishuoshi1996

  • 智能人脸识别算法及其FPGA的实现.rar

    人脸自动识别技术是模式识别、图像处理等学科的一个最热门研究课题之一。随着社会的发展,各方面对快速有效的自动身份验证的要求日益迫切,而人脸识别技术作为各种生物识别技术中最重要的方法之一,已经越来越多的受到重视。对于具有实时,快捷,低误识率的高性能算法以及对算法硬件加速的研究也逐渐展开。 本文详细分析了智能人脸识别算法原理,发展概况和前景,包括人脸检测算法,人眼定位算法,预处理算法,PCA和ICA 算法,详细分析了项目情况,系统划分,软硬件平台的资源和使用。并在ISE软件平台上,用硬件描述语言(verilog HDL)对算法部分严格按照FPGA代码风格进行了RTL 硬件建模,并对C++算法进行了优化处理,通过仿真与软件算法结果进行比对,评估误差,最后在VirtexII Pro FPGA 上进行了综合实现。 主要研究内容如下: 首先,对硬件平台xilinx的VirtexII Pro FPGA 上的系统资源进行了描述和研究,对存储器sdram,RS-232 串口,JTAG 进行了研究和调试,对Coreconnect的OPB总线仲裁机理进行了两种算法的比较,RTL 设计,仿真和综合。利用ISE和VC++软件平台,对verilog和C++算法进行同步比较测试,使每步算法对应正确的结果。对软硬件平台的合理使用使得在项目中能尽可能多的充分利用硬件资源,制板时正确选型,以及加快设计和调试进度。其次,对人脸识别算法流程中的人脸检测,人眼定位,预处理,识别算法分别进行了比较研究,选取其中各自性能最好的一种算法对其原理进行了分析讨论。人脸检测采用adaboost 算法,因其速度和精度的综合性能表现优异。人眼定位采用小块合并算法,因为它具有快速,准确,弱时实的特点。预处理算法采用直方图均衡加平滑的算法,简单,高效。 识别算法采用PCA 加ICA 算法,它能最大的弱化姿态和光照对人脸识别的影响。 最后,使用Verilog HDL 硬件描述语言进行算法的RTL 建模,在C++算法的基础上,保证原来效果的前提下,根据FPGA 硬件特点对算法进行了优化。视频输入输出是人脸识别的前提,它提供FPGA 上算法需要处理的数据,预处理算法在C++算法的基础上进行了优化,最大的减少了运算量,提高了运算速度,16 位计算器模块使得在算法实现时可以根据系统要求,在FPGA的ip 核和自己设计的模块之间选择性能更好的一个来调用,FIFO的设计提供同步和异步时钟域的数据缓存。设计在ISE和VC++软件平台同时进行,随时对verilog和C++数据进行监测和比对。全部设计模块通过仿真,达到预定的性能要求,并在FPGA 上综合实现。

    标签: FPGA 人脸识别 算法

    上传时间: 2013-07-13

    上传用户:李梦晗

  • 图像缩放算法的研究与FPGA设计.rar

    Scaler是平板显示器件(FPD,Flat Panel Display)中的重要组成部分,它将输入源图像信号转换成与显示屏固定分辨率一致的信号,并控制其显示在显示屏上。本文在研究图像缩放算法和scaler在FPD中工作过程的基础上,采用自上而下(Top-down)的设计方法,给出了scaler的设计及FPGA验证。该scaler支持不同分辨率图像的缩放,且缩放模式可调,也可以以IP core的形式应用于相关图像处理芯片中。 图像缩放内核是scaler的核心部分,它是scaler中的主要运算单元,完成图像缩放的基本功能,它所采用的核心算法以及所使用的结构设计决定着缩放性能的优劣,也是控制芯片成本的关键。因此,本文从缩放内核的结构入手,对scaler的总体结构进行了设计;通过对图像缩放中常用算法的深入研究提出了一种新的优化算法——矩形窗缩放算法,并对其计算进行分析和简化,降低了计算的复杂度。FPGA设计中,采用列缩放与行缩放分开处理的结构,使用双口RAM作为两次缩放间的数据缓冲区。使用这种结构的优势在于:行列缩放可以同时进行,数据处理的可靠性高、速度快:内核结构简单明了,数据缓冲区大小合适,便于设计。此外,本文还介绍了其他辅助模块的设计,包括DVI接口信号处理模块、缩放参数计算与控制模块以及输出信号检测与时序滤波模块。 本设计使用Verilog HDL对各模块进行了RTL级描述,并使用Quartus II7.2进行了逻辑仿真,最后使用Altera公司的FPGA芯片来进行验证。通过逻辑验证和系统仿真,证明该scaler的设计达到了预期的目标。对于不同分辨率的图像,均可以在显示屏上得到稳定的显示。

    标签: FPGA 图像 法的研究

    上传时间: 2013-05-30

    上传用户:xiaowei314