虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

II软核

  • 基于FPGA的多功能LCD显示控制器设计

    通过对LCD1602/LCD12864显示模块控制时序和指令集的对比分析,利用Verilog HDL描述语言完成了多功能LCD显示控制模块的IP核设计.所设计的LCD显示控制器具有很好的可移植性,只需通过端口的使能参数配置便可以驱动LCD1602/LCD12864模块实现字符或图形的实时显示,并且该多功能LCD控制器的可行性也在Cyclone II系列的EP2C5T144C8 FPGA芯片上得到了很好的验证.

    标签: FPGA LCD 多功能 显示控制器

    上传时间: 2015-01-01

    上传用户:wwwwwen5

  • Altera Quartus II Tutorial

    Altera Quartus II Tutorial

    标签: Tutorial Quartus Altera II

    上传时间: 2013-11-22

    上传用户:1142895891

  • 定制简单LED的IP核的设计源代码

    定制简单LED的IP核的设计源代码

    标签: LED 定制 IP核 源代码

    上传时间: 2013-10-19

    上传用户:gyq

  • 基于VHDL的FPGA和Nios II实例精炼(刘福奇)

      基于VHDL的FPGA和Nios II 实例精炼【作者:刘福奇;出版社:北京航空航天大学出版社】(本书优酷视频地址:http://www.youku.com/playlist_show/id_5882081.html)   内容简介:本书分为4个部分:Quartus Ⅱ软件的基本操作、VHDL语法介绍、FPGA设计实例和Nios Ⅱ设计实例;总结了编者几年来的FPGA设计经验,力求给初学者或是想接触这方面知识的读者提供一种快速入门的方法;适合电子相关专业的大学生、FPGA的初学者以及对FPGA有兴趣的电子工程师。初学者可以按照步骤学习。本书中提及到时间计算问题,不光提出有时间戳的方法, 还介绍了一种通过读取定时器的寄存器来计算时间的方法。其实,有人认为,本书最好的部分是:DMA的实现说明(本书从3个方面讲述了DMA的使用)。现在学习Verilog HDL的人或许比较多,但是用VHDL的人可以学习下,这本书还是很不错的。

    标签: VHDL FPGA Nios

    上传时间: 2014-07-10

    上传用户:米米阳123

  • 基于Quartus II FPGA/CPLD数字系统设计实例(VHDL源代码文件)

      本资料是关于基于Quartus II FPGA/CPLD数字系统设计实例(VHDL源代码文件),需要的可以自己下载。

    标签: Quartus FPGA CPLD VHDL

    上传时间: 2013-11-12

    上传用户:VRMMO

  • quartus ii实验手册

    quartus ii实验手册

    标签: quartus 实验手册

    上传时间: 2013-11-17

    上传用户:zhichenglu

  • FPC模组软板设计规范

    FPC模组软板设计规范

    标签: FPC 模组 软板 设计规范

    上传时间: 2013-10-18

    上传用户:kernaling

  • FPC背光源软板设计规范

    FPC背光源软板设计规范

    标签: FPC 背光源 软板 设计规范

    上传时间: 2013-10-08

    上传用户:13033095779

  • Nios II软件构建工具入门

    Nios II软件构建工具入门 The Nios® II Software Build Tools (SBT) allows you to construct a wide variety of complex embedded software systems using a command-line interface. From this interface, you can execute Software Built Tools command utilities, and use scripts other tools) to combine the command utilities in many useful ways. This chapter introduces you to project creation with the SBT at the command line This chapter includes the following sections: ■ “Advantages of Command-Line Software Development” ■ “Outline of the Nios II SBT Command-Line Interface” ■ “Getting Started in the SBT Command Line” ■ “Software Build Tools Scripting Basics” on page 3–8

    标签: Nios 软件

    上传时间: 2013-11-15

    上传用户:nanxia

  • 使用Nios II软件构建工具

     使用Nios II软件构建工具 This chapter describes the Nios® II Software Build Tools (SBT), a set of utilities and scripts that creates and builds embedded C/C++ application projects, user library projects, and board support packages (BSPs). The Nios II SBT supports a repeatable, scriptable, and archivable process for creating your software product. You can invoke the Nios II SBT through either of the following user interfaces: ■ The Eclipse™ GUI ■ The Nios II Command Shell The purpose of this chapter is to make you familiar with the internal functionality of the Nios II SBT, independent of the user interface employed.

    标签: Nios 软件

    上传时间: 2013-10-12

    上传用户:china97wan