虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

II软核

  • VIP专区-嵌入式/单片机编程源码精选合集系列(82)

    VIP专区-嵌入式/单片机编程源码精选合集系列(82)资源包含以下内容:1. PIC 18F8680 CAN bus Demo.2. write erase secure for NXP LPC23XX.3. 红外遥控器制作专业资料打包,包括TSAL6200,HS0038B等相关设计内容,非常丰富..4. 在KEIL环境下,取反p0.26口.5. ARM lpc2294 的CPU中继.6. 分的股份的给对方了广阔的封公开的森林狼队是开发了份大礼服肯定是.7. 128*64点阵LCD显示程序,串口,适用耀宇等LCD模块.如需电路请联系qudaohong@163.com.8. 用c-press控制设备块传输希望对你有所帮助.9. I2C 24c02 芯片读写程序.10. cc2430上的点对点通信的源程序。芯片2430是ZigBee传输标准.11. gps程序设计源码c c.12. 基于GSM无线温度检测系统.13. 关于DS18B20的毕业设计论文.14. gray码计数器 用于减少出错率 代码已经仿真 请放心下载.15. 桶型移位寄存器 用于多种场合 可放心下载.16. keyboard 的verilog 代码 代码绝对经典.17. STMP3410原理图.18. 该文件是SOPC中NiosII软核CF接口源程序代码.19. 常用的几种芯片的液晶驱动程序M12864汉字液晶显示,44780字符显示等.20. DM642_CPLD视频采集处理系统设计.21. mp3解码程序.22. 不错的例程.23. 是一篇关于嵌入式系统内存分配的文章.24. FPGA和CPLD入门级详细的学习资料.25. 用UBOOT实现S3C44B0的BIOSB.26. 恩智 lpc2100 的外 中断1.27. 320*240,T6963控制器的液晶源程序..28. EEPROM存储器I2C总线24CXX芯片操作源程序.29. c语言写的菜单程序,课程表,存储修改源代码..30. c语言写的图形界面程序源代码.VERSION0.0.31. 这个是在NOIS II中使用的.32. (原创)高精度计时器电路原理图。采用AT89S52加DP8573.33. (原创)高精度电子时钟和计时器电路版图。与本人另外上传的原理图配套.34. ARM,DSP,FPGA的区别:详细介绍了ARM.35. 详细介绍了CPLD和FPGA的区别.36. at91rm9200的原理图和pcb图.37. pci-serial是 PCI转串口驱动程序.38. 台湾大学的一个mgcp 协议的实现源码,对研究mgcp协议很有帮助!.39. 51+sl811读写U盘的源程序+原理图.40. fpga cpldXILINXCPLD-JTAG fpga cpldXILINXCPLD-JTAG.

    标签: 弹簧 设计手册

    上传时间: 2013-06-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(137)

    VIP专区-嵌入式/单片机编程源码精选合集系列(137)资源包含以下内容:1. 可以从字库中提取中文字的字模点阵信息,可分为12X12、14X14、16X16、24X24.2. ST Flash loader的2次开发接口源码.3. C8051 IC SPEC资料,相信对于大家有帮助..4. SmartARM2214开发板原理图.5. 关于spi的接口程序.6. arm嵌入式应用.7. 介绍PCB中的走线粗细与电流大小的关系..8. RC500读写源程序5[1].6.9. Spanion的关于NAND Flash的ECC算法的简单比较.10. ecos cvs version lwip-tcpip nat addon patch..11. Embeded Software Development With eCos eCos必备之书.12. ZLG_GUI在uC_OS的使用.13. 看到的比较全面的Eclipse教程.14. TI TMS320C5509A开发板原理图.15. 智能车开发板的原理图.16. fat文件系统的源码 老外写的FAT32文件系统 还是有用的.17. 本文件系统实现的一个类似于DOS/WINDOWS的文件管理系统.18. Atmega128单片机的LCD显示 开发环境ICCAVR.19. 基于ZigBee通讯协议的设计与实现.20. 嵌入式三星2410板子上实现通信服务。共分为接收端和发送端两部分.21. 此程序是在blackfin下实在的ide硬盘的程序.22. GSM短信LED条屏控制板,STC单片机+29C040+62256.23. ST7920 控制器系列中文图形液晶模块显示程序(C语言).24. 普林斯顿大学的本科嵌入式系统设计的讲义。讲述覆盖了嵌入式设计的各个方面.25. P437_军用软件开发规范 P437_军用软件开发规范.26. wince 启动时 自动加载 SD卡 应用程序.27. ,2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技.28. 支持s3c4510的bios。感觉其实现的方式还是比较巧妙的。而且网上还有对该源码的学习日志。很是有利于大家学习.29. 基于Nuleus操作系统和s3c4510的编写的EFC。已经包含了该EFC的设计说明。这是个实际产品的代码.30. CPLD驱动显示器的VGA口.31. 基于MFRC500读卡芯片的完整读卡程序.32. i2c introductions.33. 此文件为zigbee2006协议栈源码.34. 基于QT60xx0的I2C接口开发.35. STM32F10xxx USB开发者套件.36. 关于台湾研华远程以太网模块上位机控制源代码.37. 关于台湾研华远程以太网模块上位机控制源代码.38. 关于台湾研华远程以太网模块上位机控制源代码.39. 关于台湾研华远程以太网模块上位机控制源代码.40. 关于台湾研华远程以太网模块上位机控制源代码.

    标签: Demonstrator Release USB HID

    上传时间: 2013-04-15

    上传用户:eeworm

  • 基于FPGA的图像采集处理系统设计与实现.rar

    随着当今科学技术的迅猛发展,数字图像处理技术正在各个行业得到广泛的应用,而FPGA技术的不断成熟改变了通常采用并行计算机或数字信号处理器(DSP)、专用集成电路(ASIC)等作为嵌入式处理器的惯例。可编程逻辑器件(FPGA)凭借其较低的开发成本、较高的并行处理速度、较大的灵活性及其较短的开发周期等特点,在图像处理系统中有独特的优势。 本文提出了一种基于FPGA的图像采集处理系统解决方案,并选用低成本高性能的Altera公司的CycloneIII系列FPGA EP3C40F324为核心,设计开发了图像采集处理的软硬件综合系统。文章阐述了如何在FPGA中嵌入NiosII软核处理器并完成图像采集处理系统功能的设计方案。硬件电路上,系统设计了三块电路板:FPGA核心处理板、图像采集卡、图像显示卡,其中通过I2C总线对采集卡的工作模式进行配置,在采集模块控制下,将采集到的图像数据存储到SDRAM;根据VGA显示原理及其时序关系,设计了VGA显示输出控制模块,合成了VGA工作的控制信号,又根据VGA显示器的工业标准,合成VGA接口的水平和帧同步信号。逻辑硬件上,应用SOPCBuilder工具生成了FPGA内部的逻辑硬件功能模块,定制了NiosII IP core、CMOS图像采集模块、VGA Controller及其I2C总线接口,系统各模块间通过Avalon总线连接起来。软件部分,在NiosII内核处理器上实现了彩色图像颜色空间转换、二值化、形态学腐蚀处理及其目标定位等算法。实验结果证明了本文提出的方案及算法的正确性,可行性。

    标签: FPGA 图像采集 处理系统

    上传时间: 2013-08-05

    上传用户:woshiyaosi

  • 基于LabVIEW和SOPC的智能型函数发生器的研究与设计.rar

    函数发生器又名任意波形发生器,是一种常用的信号源,广泛应用于通信、雷达、导航等现代电子技术领域。信号发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL)、直接数字合成技术(DDS)。DDS是开环系统,无反馈环节,输出响应速度快,频率稳定度高。因此直接数字频率合成技术是目前频率合成的主要技术之一,其输出信号具有相对较大的带宽、快速的相位捷变、极高的相位分辨率和相位连续等优点。本文的主要工作是采用SOPC结合虚拟仪器技术,进行DDS智能函数发生器的研制。 本文介绍了虚拟仪器技术的基本理论,简要阐述了仪器驱动程序、VISA等相关技术。对SOPC技术进行了深入的研究:SOPC技术是基于可编程逻辑器件的可重构片上系统,它作为SOC和CPLD/FPGA相结合的一项综合技术,结合了两者的优点,集成了硬核或软核CPU、DSP、锁相环、存储器、I/O接口及可编程逻辑,可以灵活高效地解决SOC方案,而且设计周期短,设计成本低,非常适合本设计的应用。本文还对基于DDS原理的设计方案进行了分析,介绍了DDS的基本理论以及数学综合,在研究DDS原理的基础上,利用SOPC技术,在一片FPGA芯片上实现了整个函数发生器的硬件集成。 本文就函数发生器的设计制定了整体方案,对软硬件设计原理及实现方法进行了具体的介绍,包括整个系统的硬件电路,SOPC片上系统和PC端软件的设计。在设计中,LabVIEW波形编辑软件和函数发生器二者采用异步串口进行通信。利用LabVIEW的强大功能,把波形的编辑,系统的设置放到计算机上完 成,具有人机界面友好、系统升级方便、节约硬件成本等诸多优势。同时充分利用了FPGA内部大量的逻辑资源,将DDS模块和微处理器模块集成到一个单片FPGA上,改变了传统的系统设计思路。通过对系统仿真和实际测试,结果表明该智能型函数发生器不仅能产生理想的输出信号,还具有集成度高、稳定性好和扩展性强等优点。关键词:智能型函数发生器,虚拟仪器,可编程片上系统,直接数字合成技术,NiosⅡ处理器。

    标签: LabVIEW SOPC 智能型

    上传时间: 2013-07-09

    上传用户:zw380105939

  • 基于FPGA的固态硬盘控制器设计.rar

    近年来,大容量数据存储设备主要是机械硬盘,机械硬盘采用机械马达和磁片作为载体,存在抗震性能低、高功耗和速度提升难度大等缺点。固态硬盘是以半导体作为存储介质及控制载体,无机械装置,具有抗震、宽温、无噪、可靠和节能等特点,是目前存储领域所存在问题的解决方案之一。本文针对这一问题,设计基于FPGA的固态硬盘控制器,实现数据的固态存储。 文章首先介绍硬盘技术的发展,分析固态硬盘的技术现状和发展趋势,阐述课题研究意义,并概述了本文研究的主要内容及所做的工作。然后从分析固态硬盘控制器的关键技术入手,研究了SATA接口协议和NANDFLASH芯片特性。整体设计采用SOPC架构,所有功能由单片FPGA完成。移植MicroBlaze嵌入式处理器软核作为主控制器,利用Verilog HDL语言描述IP核形式设计SATA控制器核和NAND FLASH控制器核。SATA控制器核作为高速串行传输接口,实现SATA1.0协议,根据协议划分四层模型,通过状态机和逻辑电路实现协议功能。NAND FLASH控制器核管理NANDFLASH芯片阵列,将NAND FLASH接口转换成通用的SRAM接口,提高访问效率。控制器完成NAND FLASH存储管理和纠错算法,实现数据的存储和读取。最后完成固态硬盘控制器的模块测试和整体测试,介绍了测试方法、测试工具和测试流程,给出测试数据和结果分析,得出了验证结论。 本文设计的固态硬盘控制器,具有结构简单和稳定性高的特点,易于升级和二次开发,是实现固态硬盘和固态存储系统的关键技术。

    标签: FPGA 固态硬盘 制器设计

    上传时间: 2013-05-28

    上传用户:sssnaxie

  • 基于FPGA的小型CPU中通信协议的研究及IPCore的开发.rar

    FPGA作为新一代集成电路的出现,引起了数字电路设计的巨大变革。随着FPGA工艺的不断更新与改善,越来越多的用户与设计公司开始使用FPGA进行系统开发,因此,PFAG的市场需求也越来越高,从而使得FPGA的集成电路板的工艺发展也越来越先进,在如此良性循环下,不久的将来,FPGA可以主领集成电路设计领域。正是由于FPGA有着如此巨大的发展前景与市场吸引力,因此,本文采用FPGA作为电路设计的首选。 @@ 随着FPGA的开发技术日趋简单化、软件化,从面向硬件语言的VHDL、VerilogHDL设计语言,到现在面向对象的System Verilog、SystemC设计语言,硬件设计语言开始向高级语言发展。作为一个软件设计人员,会很容易接受面向对象的语言。现在软件的设计中,算法处理的瓶颈就是速度的问题,如果采用专用的硬件电路,可以解决这个问题,本文在第一章第二节详细介绍了软硬结合的开发优势。另外,在第一章中还介绍了知识产权核心(IP Core)的发展与前景,特别是IP Core中软核的设计与开发,许多FGPA的开发公司开始争夺软核的开发市场。 @@ 数字电路设计中最长遇到的就是通信的问题,而每一种通信方式都有自己的协议规范。在CPU的设计中,由于需要高速的处理速度,因此其内部都是用并行总线进行通信,但是由于集成电路资源的问题,不可能所有的外部设备都要用并行总线进行通信,因此其外部通信就需要进行串行传输。又因为需要连接的外部设备的不同,因此就需要使用不同的串行通信接口。本文主要介绍了小型CPU中常用的三种通信协议,那就是SPI、I2C、UART。除了分别论述了各自的通信原理外,本文还特别介绍了一个小型CPU的内部构造,以及这三个通信协议在CPU中所处的位置。 @@ 在硬件的设计开发中,由于集成电路本身的特殊性,其开发流程也相对的复杂。本文由于篇幅的问题,只对总的开发流程作了简要的介绍,并且将其中最复杂但是又很重要的静态时序分析进行了详细的论述。在通信协议的开发中,需要注意接口的设计、时序的分析、验证环境的搭建等,因此,本文以SPI数据通信协议的设计作为一个开发范例,从协议功能的研究到最后的验证测试,将FPGA 的开发流程与关键技术等以实例的方式进行了详细的论述。在SPI通信协议的开发中,不仅对协议进行了详细的功能分析,而且对架构中的每个模块的设计都进行了详细的论述。@@关键词:FPGA;SPI;I2C;UART;静态时序分析;验证环境

    标签: IPCore FPGA CPU

    上传时间: 2013-04-24

    上传用户:vvbvvb123

  • 基于FPGA的SCI串行通信接口的研究与实现.rar

    国家863项目“飞行控制计算机系统FC通信卡研制”的任务是研究设计符合CPCI总线标准的FC通信卡。本课题是这个项目的进一步引伸,用于设计SCI串行通信接口,以实现环上多计算机系统间的高速串行通信。 本文以此项目为背景,对基于FPGA的SCI串行通信接口进行研究与实现。论文先概述SCI协议,接着对SCI串行通信接口的两个模块:SCI节点模型模块和CPCI总线接口模块的功能和实现进行了详细的论述。 SCI节模型包含Aurora收发模块、中断进程、旁路FIFO、接受和发送存储器、地址解码、MUX。在SCI节点模型的实现上,利用FPGA内嵌的RocketIO高速串行收发器实现主机之间的高速串行通信,并利用Aurora IP核实现了Aurora链路层协议;设计一个同步FIFO实现旁路FIFO;利用FPGA上的块RAM实现发送和接收存储器;中断进程、地址解码和多路复合分别在控制逻辑中实现。 CPCI总线接口包括PCI核、PCI核的配置模块以及用户逻辑三个部分。本课题中,采用FPGA+PCI软核的方法来实现CPCI总线接口。PCI核作为PCI总线与用户逻辑之间的桥梁:PCI核的配置模块负责对PCI核进行配置,得到用户需要的PCI核;用户逻辑模块负责实现整个通信接口具体的内部逻辑功能;并引入中断机制来提高SCI通信接口与主机之间数据交换的速率。 设计选用硬件描述语言VerilogHDL和VHDL,在开发工具Xilinx ISE7.1中完成整个系统的设计、综合、布局布线,利用Modelsim进行功能及时序仿真,使用DriverWorks为SCI串行通信接口编写WinXP下的驱动程序,用VC++6.0编写相应的测试应用程序。最后,将FPGA设计下载到FC通信卡中运行,并利用ISE内嵌的ChipScope Pro虚拟逻辑分析仪对设计进行验证,运行结果正常。 文章最后分析传输性能上的原因,指出工作中的不足之处和需要进一步完善的地方。

    标签: FPGA SCI 串行通信接口

    上传时间: 2013-04-24

    上传用户:竺羽翎2222

  • 基于USB2.0的FPGA配置接口及实验开发评估板设计与实现.rar

    信号与信息处理是信息科学中近几年来发展最为迅速的学科之一,随着片上系统(SOC,System On Chip)时代的到来,FPGA正处于革命性数字信号处理的前沿。基于FPGA的设计可以在系统可再编程及在系统调试,具有吞吐量高,能够更好地防止授权复制、元器件和开发成本进一步降低、开发时间也大大缩短等优点。然而,FPGA器件是基于SRAM结构的编程工艺,掉电后编程信息立即丢失,每次加电时,配置数据都必须重新下载,并且器件支持多种配置方式,所以研究FPGA器件的配置方案在FPGA系统设计中具有极其重要的价值,这也给用于可编程逻辑器件编程的配置接口电路和实验开发设备提出了更高的要求。 本论文基于IEEE1149.1标准和USB2.0技术,完成了FPGA配置接口电路及实验开发板的设计与实现。作者在充分理解IEEE1149.1标准和USB技术原理的基础上,针对Altcra公司专用的USB数据配置电缆USB-Blaster,对其内部工作原理及工作时序进行测试与详细分析,完成了基于USB配置接口的FPGA芯片开发实验电路的完整软硬件设计及功能时序仿真。作者最后进行了软硬件调试,完成测试与验证,实现了对Altera系列PLD的配置功能及实验开发板的功能。 本文讨论的USB下载接口电路被验证能在Altera的QuartusII开发环境下直接使用,无须在主机端另行设计通信软件,其兼容性较现有设计有所提高。由于PLD(Programmable Logic Device)厂商对其知识产权严格保密,使得基于USB接口的配置电路应用受到很大限制,同时也加大了自行对其进行开发设计的难度。 与传统的基于PC并口的下载接口电路相比,本设计的基于USB下载接口电路及FPGA实验开发板具有更高的编程下载速率、支持热插拔、体积小、便于携带、降低对PC硬件伤害,且具备其它下载接口电路不具备的SignalTapII嵌入式逻辑分析仪和调试NiosII嵌入式软核处理器等明显优势。从成本来看,本设计的USB配置接口电路及FPGA实验开发板与其同类产品相比有较强的竞争力。

    标签: FPGA USB 2.0

    上传时间: 2013-04-24

    上传用户:lingduhanya

  • 基于FPGA的10M100M以太网控制器的设计.rar

    随着以太网技术的不断发展,网络的传输速度已经由最初的10M发展到现在的10,000M。用可编程逻辑器件(FPGA)实现以太网控制器与其它SOC系统的互连成为当前的研究热点。本文阐述了MAC层的FPGA设计、仿真及测试;介绍了整个系统的内部结构、模块划分,并对各个模块的设计过程进行了详细阐述,接着介绍了开发环境和验证工具,同时给出测试方案、验证数据、实现结果及时序仿真波形图。 对MAC层的主要功能模块如:发送模块、接收模块、MAC流程控制模块、寄存器模块、MⅡ接口模块和主机接口模块以及CRC,CSMA/CD,HASH表等算法给出了基于FPGA及硬件描述语言的解决方法。 本课题针对以下三个方面进行了研究并取得一定的成果: 1)FPGA开发平台的硬件实现。选用Xilinx公司的XC3S1000-FT256-4-C和ATMEL公司的ARM9200作为测试的核心器件,采用LXT971芯片作为物理层芯片,AT91RM9200作为数据输入源和双blockram作为帧缓存搭建FPGA硬件验证开发平台。 2)基于FPGA实现以太网控制器。用VerilogHDL语言构建以太网控制器,实现CSMA/CD协议、10M/100M自适应以及与物理层MⅡ接口等。 3)采用片上系统通用的WS接口。目的是便于与具有通用接口的片上系统互连,也为构建SOC上处理器提供条件。 本论文实现了一个基于WS总线接口可裁减的以太网MAC控制器IP软核,为设计具有自主知识产权的以太网MAC控制器积累了经验。同时,为与其它WS接口的控制器实现直接互连创造了条件,对高层次设计这一先进ASIC设计方法也有了较为深入的认识。

    标签: 10M100M FPGA 以太网控制器

    上传时间: 2013-07-17

    上传用户:bruce

  • 基于NiosⅡ的FPGACPU调试技术研究.rar

    本文研究了基于Nios Ⅱ的FPGA-CPU调试技术。论文研究了NiosⅡ嵌入式软核处理器的特性;实现了以Nios Ⅱ嵌入式处理器为核心的FPGA-CPU调试系统的软、硬件设计;对两种不同类型的FPGA-CPU进行了实际调试,对实验数据进行了分析。 在硬件方面,为了控制和检测FPGA-CPU,设计并实现了FPGA-CPU的控制电路、FPGA-CPU的内部通用寄存器组扫描电路、存储器电路等;完成了各种外围设备接口的设计;实现了调试系统的整体设计。 在软件方面,设计了调试监控软件,完成了对FPGA-CPU运行的控制和信号状态的监测。这些信号包括地址和数据总线以及各种寄存器的数据等;实现了多种模式下的FPGA-CPU调试支持单时钟调试、单步调试和软件断点多种调试模式。此外,设计了专用的编译软件,实现了基于不同指令系统的伪汇编程序编译,提高了调试效率。 本文作者在实现了FPGA-CPU调试系统基础上,对两种指令系统不同、结构迥异的FPGA-CPU进行实际调试。调试结果表明,这种基于IP核的可复用设计技术,能够在一个FPGA芯片内实现调试系统和FPGA-CPU的无缝连接,能够有效地调试FPGA-CPU。

    标签: FPGACPU Nios 调试

    上传时间: 2013-08-04

    上传用户:zhch602