虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

HDMI接口

高清多媒体接口(HighDefinitionMultimediaInterface,HDMI[1])是一种全数字化视频和声音发送接口,可以发送未压缩的音频及视频信号。HDMI可用于机顶盒、DVD播放机、个人计算机、电视、游戏主机、综合扩大机、数字音响与电视机等设备。HDMI可以同时发送音频和视频信号,由于音频和视频信号采用同一条线材,大大简化系统线路的安装难度。
  • 基于ARM核的AHBUSB20接口ASIC设计

    USB2.0接口和基于ARM核的SOC系统的应用已经非常广泛,特别在电子消费类领域。包含USB2,0接口的ARM系统则更是市场的需求。本文介绍一种基于ARM核的USB2,0接口IP(AHB_USB2.0)的设计,主要对其中的串行接口引擎(SIE)的设计进行讨论。 该 AHB_USB2.0 IP核支持USB2.0协议,并兼容USB1.1协议;支持AMBA2.0协议和UTMI 1.05协议。该IP核一侧通过UTMI接口或ULPI接口的PHY与USB2.0主机端进行通信;另一侧则通过AHB总线与ARM相连。 AHB_USB2.0 IP核在硬件上分为三个大模块:ULPI模块(ULPI)、串行接口引擎(SIE)模块和AHB总线接口模块(AHB)。ULPI模块实现了UTMI接口转ULPI接口。串行接口引擎(SIE)模块为USB2.0的数据链路层协议处理模块,为整个IP核的核心部分,进一步分为四个子模块——GLC(全局控制模块),PIE(PHY接口处理引擎),SIF(系统接口逻辑)和EPB(端点缓冲模块)。GLC模块负责整个IP的复位控制,IP时钟的开关提示等;PIE模块负责处理USB的事务级传输,包括组包解包等;SIF模块负责协议相关寄存器组和端点缓冲区的读写,跨时钟域信号的处理和PIE所需的控制信号的产生;AHB模块负责IP核与ARM通信和DMA功能的实现。 该IP核的软件设计遵循USB协议,Bulk Only协议和UFI协议,由外挂ARM实现USB设备命令和UFI命令的解析,并执行相应的操作。设计了IP核与ARM之间的多种数据传输方法,通过软件实现常规数据读写访问、内部DMA或外部DMA等多种方式的切换。 本IP已经通过EDA验证和FPGA测试,并且已经在内嵌ARM核的FPGA系统上实现了多个U盘。这个FPGA系统的正确工作,证明了AHB_USB2.01P核设计是正确的。

    标签: AHBUSB ASIC ARM 20

    上传时间: 2013-05-17

    上传用户:qqoqoqo

  • 基于ARM核的USB2.0AHB接口IP主机端驱动程序的设计与实现

    本论文以开发基于ARM核的USB2.0-AHB接口IP此项目为依托,致力于在Windows XP操作系统上使用DDK(Driver Development Kit)设计和开发一个基于WDM的主机端驱动程序。开发该驱动程序的目的是为了对该IP进行FPGA测试以及配合设备端驱动程序的开发,该驱动程序能够完成即插即用功能,块传输,同步传输,控制传输以及对Flash的操作五项主要功能。 论文首先介绍了基于WDM的USB驱动程序设计原理,其中包括了从结构到通信流对USB主机系统的介绍,编写WDM驱动程序的基础理论(主要介绍了数个相关的重要概念、驱动程序的基本组成),以及在开发对Flash操作的例程会使用到的Mass Storage类协议的简要介绍。在介绍设计原理后,论文从总体的系统应用环境和结构蓟数据传输、内部模块以及软硬件体系结构几个方面简要描述了该IP的系统设计。接着论文通过分析主机端驱动程序功能需求,提出了驱动程序的总体构架以及分步式的设计流程,具体步骤是先实现驱动程序的正常加载以及基本PnP功能,然后实现块传输、同步传输以及控制传输,最后完成对Flash操作例程的设计。随后论文详细阐述了对上述五项主要功能模块的设计;其中对Flash操作例程的设计是难点,作者通过分析Bulk-Only协议和UFI命令规范,提出程序的详细设计方案。论文最后简要介绍了调试驱动程序的方法,以及驱动程序的测试内容、部分测试结果以及测试结论。 本论文研究对象为基于ARM核的USB2.0-AHB接口IP主机端驱动程序,因为其研究主体是一个基于WDM的主机端驱动程序,因此有其普遍性;但是它以开发基于ARM核的USB2.0-AHB接口IP这个项目为依托,其目的是为项目服务,因此它有其特殊性。它是一项既有普遍性又有特殊性的研究。

    标签: ARM 2.0 AHB USB

    上传时间: 2013-05-19

    上传用户:2007yqing

  • LPC总线接口UART控制器FPGA实现

    随着微电子技术的快速发展,电子设备逐渐向着小型化、集成化方向发展;人们在要求设备性能不断提升的同时,还要求设备功耗低、体积小、重量轻、可靠性高。同样在我军武器装备的研制过程中,也对各武器装备都提出了新的要求,特别是针对单兵配备的便携设备,对体积、功耗、扩展性的要求更是严格。 在某手持式设备的开发项目中,需要设计一块接口板,要求实现高达8个串行口扩展以及能源管理和数字输入输出接口等功能,该接口板与处理器模块的连接总线采用LPC总线,整个手持设备除了对功能有基本的要求以外,对体积及功耗都提出了极高的要求。针对项目的具体设计要求,经过与传统设计方法的比较,决定采用FPGA来实现LPC接口及UART控制器功能。 论文的主要目标是完成LPC接口的UART控制在FPGA中的实现。对于各模块中的关键的功能部分,文中对其实现都进行了详细的说明。整个设计全部采用硬件描述语言(HDL)实现,并且采用了分模块的设计风格,具有很好的重用性。 为了在硬件平台上验证设计,还实做了FPGA验证平台,并用C语言编写了测试程序。经过验证,该方案完全实现了接口板的功能要求,并且满足体积和功耗上的要求,取得了良好的效果。 论文通过采用FPGA作为电路设计的核心,以一种新的数字电路设计方法实现电路功能;旨在通过这种方式,不断提高设备的性能并拓展设计者思想。

    标签: FPGA UART LPC 总线接口

    上传时间: 2013-05-21

    上传用户:poyao

  • 维修LVDS屏的接口定义

    维修LVDS屏的接口定义 维修LVDS屏的接口定义

    标签: LVDS 接口定义

    上传时间: 2013-05-31

    上传用户:362279997

  • DDS芯片AD9850的工作原理及其与单片机的接口

    介绍了美国AD公司采用先进的直接数字频率合成(DDS)技术推出的高集成度频率合成器AD9850的工作原理、主要特点及其与MCS51单片机的接口,并给出了接口电路图和部分源程序.

    标签: 9850 DDS AD 芯片

    上传时间: 2013-06-02

    上传用户:myworkpost

  • 基于FPGA的PCI总线接口设计

    本文研究的主要内容是应用可编程器件FPGA来作PCI总线从模块设计.文中首先分析了可编程器件在PCI总线产品设计中的可行性和应用前景,接着对PCI总线协议作了一个系统的介绍,然后分析了PCI总线从模块接口电路的结构,提出了子电路模块的具体实现方案,最后在Xilinx ISE开发环境下采用Xilinx公司生产Spartan2E系列的器件XC2S300E来设计接口电路.并设计了验证电路板,在PC机主板上对设计进行功能验证,验证结果表明设计正确,达到了设计要求.为今后对PCI总线接口进一步的研究奠定了基础.

    标签: FPGA PCI 总线 接口设计

    上传时间: 2013-04-24

    上传用户:gxohao

  • 随机读写I2C串行总线接口电路设计

    I2C(Inter Integrated Circuits)是Philips公司开发的用于芯片之间连接的串行总线,以其严格的规范、卓越的性能、简便的操作和众多带I2C接口的外围器件而得到广泛的应用并受到普遍的欢迎。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。本论文主要讨论了如何利用Verilog/FPGA来实现一个随机读/写的I2C接口电路,实现与外围I2C接口器件E2PROM进行数据通信,实现读、写等功能,传输速率实现为100KBps。在Modelsim6.0仿真软件环境中进行仿真,在Xilinx公司的ISE9.li开发平台上进行了下载,搭建外围电路,用Agilem逻辑分析仪进行数据采集,分析测试结果。 首先,介绍了微电子设计的发展概况以及设计流程,重点介绍了HDL/FPGA的设计流程。其次,对I2C串行总线进行了介绍,重点说明了总线上的数据传输格式并对所使用的AT24C02 E2PROM存储器的读/写时序作了介绍。第三,基于Verilog _HDL设计了随机读/写的I2C接口电路、测试模块和显示电路;接口电路由同步有限状态机(FSM)来实现;测试模块首先将数据写入到AT24C02的指定地址,接着将写入的数据读出,并将两个数据显示在外围LED数码管和发光二极管上,从而直观地比较写入和输出的数据的正确性。FPGA下载芯片为Xilinx SPARTAN Ⅲ XC3S200。第四,用Agilent逻辑分析仪进行传输数据的采集,分析数据传输的时序,从而验证电路设计的正确性。最后,论文对所取得的研究成果进行了总结,并展望了下一步的工作。

    标签: I2C 随机 读写 串行总线接口

    上传时间: 2013-06-08

    上传用户:再见大盘鸡

  • 1553B总线接口技术研究及实现

    本文在深入研究MIL-STD-1553B总线传输协议以及国外协议芯片设计方法的基础上,结合目前较流行的EDA技术,基于Xilinx公司Virtex-II系列FPGA完成了1553B总线接口协议设计实现,并自行设计实验板将所做的设计进行了验证。论文从专用芯片实现的具体功能出发,结合自顶向下的设计思想,给出基于FPGA的总线接口协议设计的总体方案,并根据功能的需求完成了模块化设计。文章重点介绍基于FPGA的总线控制器(BC)、远程终端(RT)、总线监视器(MT)三种类型终端设计,详细给出其设计逻辑框图、引脚说明及关键模块的仿真结果,最终通过工作方式选择信号以及其它控制信号将三种终端结合起来以达到通用接口的功能。本设计使用硬件描述语言(VHDL)进行描述,在此基础上使用Xilinx专用开发工具对设计进行综合、布局布线等,最终下载到FPGA芯片XC2V2000中进行实现。 文章最后通过自行搭建的硬件平台对所做的设计进行详细的测试验证,选择ADSP21161作为主处理器,对。FPGA芯片进行初始化配置以及数据的输入输出控制,同时利用示波器观测FPGA的输出,完成系统的硬件测试。测试结果表明本文的设计方案是合理、可行的。

    标签: 1553B 总线接口 技术研究

    上传时间: 2013-08-03

    上传用户:kennyplds

  • 基于FPGA的PCI总线接口控制器的设计

    为了满足外围设备之间、外围设备与主机之间高速数据传输,Intel公司于1991年提出PCI(Peripheral Component Interconnect)总线的概念,即周边器件互连。因为PCI总线具有极高的数据传输率,所以在数字图形、图像和语音处理以及高速数据采集和处理等方面得到了广泛的应用。 本论文首先对PCI总线协议做了比较深刻的分析,从设计要求和PCI总线规范入手,采用TOP-DOWN设计方法完成了PCI总线接口从设备控制器FPGA设计的功能定义:包括功能规范、性能要求、系统环境、接口定义和功能描述。其次从简化设计、方便布局的角度考虑,完成了系统的模块划分。并结合设计利用SDRAM控制器来验证PCI接口电路的性能。 然后通过PCI总线接口控制器的仿真、综合及硬件验证的描述介绍了用于FPGA功能验证的硬件电路系统的设计,验证系统方案的选择,并描述了PCI总线接口控制器的布局布线结果以及硬件验证的电路设计和调试方法。通过编写测试激励程序完成了功能仿真,以及布局布线后的时序仿真,并设计了PCB实验板进行测试,证明所实现的PCI接口控制器完成了要求的功能。 最后,介绍了利用驱动程序开发工具DDK软件进行软件设计与开发的过程。完成系统设计及模块划分后,使用硬件描述语言(VHDL)描述系统,并验证设计的正确性。

    标签: FPGA PCI 总线接口 控制器

    上传时间: 2013-07-15

    上传用户:1134473521

  • 基于FPGA的SDI接口的研究与开发

    串行数字接口SDI是目前使用最广泛的数字视频接口。它是遵循SMPTE-259M和EBtJ-Tech-3267标准制定的,己经被世界上众多数字视频设备生产厂家普遍采纳并作为标准视频接口,主要用在非线性编辑系统、视频服务器、虚拟演播室以及数字切换矩阵和数字光端机等场合。 以往的SDI接口在实现方法上有成本高、灵活性低等缺点,针对这些不足,本文在研究串行数字接口工作原理的基础上,提出了一种基于FPGA的标清串行数字接口(SD-SDI)的设计方案,并使用SOPC Builder构成一个Nios II处理器系统,将SDI接口以IP核形式嵌入到FPGA内部,从而提高系统的集成度,使之具有视频数据处理速度快、实时性强、性价比高的特点。具体研究内容包括: 1.在分析SDI接口的硬件结构和工作原理的基础上,提出了串行数字接口的嵌入式系统设计方法,完成了SDI接口卡的FPGA芯片内部配置以及驱动电路、均衡电路、电源电路等硬件电路设计。 2.采用软逻辑方法实现SDI接口的传输功能,进行了具体的模块化设计与仿真。 3.引入Nios II嵌入式软核处理器对数据进行处理,设计了视频图像数据的采集程序。 该传输系统以Altera公司的Cyclone II EP2C35F672C8为核心芯片,通过发送和接收电路的共同作用,能够完成标清数字视频信号的传输,初步确立了以SDI接口为数据源的视频信号传输系统的整体模式和框架。

    标签: FPGA SDI 接口

    上传时间: 2013-04-24

    上传用户:标点符号