虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

FPGA-jpeg-Verilog

  • OFDM基带调制系统在FPGA上的实现

    本文着重研究了OFDM调制解调技术在FPGA上的实现。全文内容安排如下:  第一章介绍了PLD(可编程逻辑器件)和OFDM(正交频分复用)技术的发展历史。  第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。  第三章就OFDM系统中的基本概念进行了详细的阐述。  第四、五章是OFDM算法的在FPGA上的实现,首先对要实现的算法进行分析,给出了需要实现的指标。然后给出了FPGA的实现方案,对系统的进行仿真,给出了仿真波形图和系统性能分析。  第六章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面进行了探讨。

    标签: OFDM FPGA 基带 调制系统

    上传时间: 2013-08-05

    上传用户:跃跃,,

  • 基于FPGA的多路E1反向复用传输芯片的设计与实现

    随着电信数据传输对速率和带宽的要求变得越来越迫切,原有建成的网络是基于话音传输业务的网络,已不能适应当前的需求.而建设新的宽带网络需要相当大的投资且建设工期长,无法满足特定客户对高速数据传输的近期需求.反向复用技术是把一个单一的高速数据流在发送端拆散并放在两个或者多个低速数据链路上进行传输,在接收端再还原为高速数据流.该文提出一种基于FPGA的多路E1反向复用传输芯片的设计方案,使用四个E1构成高速数据的透明传输通道,支持E1线路间最大相对延迟64ms,通过链路容量调整机制,可以动态添加或删除某条E1链路,实现灵活、高效的利用现有网络实现视频、数据等高速数据的传输,能够节省带宽资源,降低成本,满足客户的需求.系统分为发送和接收两部分.发送电路实现四路E1的成帧操作,数据拆分采用线路循环与帧间插相结合的方法,A路插满一帧(30时隙)后,转入B路E1间插数据,依此类推,循环间插所有的数据.接收电路进行HDB3解码,帧同步定位(子帧同步和复帧同步),线路延迟判断,FIFO和SDRAM实现多路数据的对齐,最后按照约定的高速数据流的帧格式输出数据.整个数字电路采用Verilog硬件描述语言设计,通过前仿真和后仿真的验证.以30万门的FPGA器件作为硬件实现,经过综合和布线,特别是写约束和增量布线手动调整电路的布局,降低关键路径延时,最终满足设计要求.

    标签: FPGA 多路 传输 片的设计

    上传时间: 2013-07-16

    上传用户:asdkin

  • 基于FPGA的精简指令集计算机的研究与开发

    大规模可编程逻辑器件CPLD和FPGA是当今应用最广泛的两类可编程专用集成电路(ASIC),电子设计工程师用它可以在办公室或实验室里设计出所需的专用集成电路,从而大大缩短了产品上市时间,降低了开发成本.此外,可编程逻辑器件还具有静态可重复编程和动态系统重构的特性,使得硬件的功能可以象软件一样通过编程来修改,这样就极大地提高了电子系统设计的灵活性和通用性.该设计完成了在一片可编程逻辑器件上开发简易计算机的设计任务,将单片机与单片机外围电路集成化,能够输入指令、执行指令、输出结果,具有在电子系统中应用的普遍意义,另外,也可以用于计算机组成原理的教学试验.该文第一章简要介绍了可编程ASIC和EDA技术的历史、现状、未来并对本课题作了简要陈述.第二章在芯片设计的两种输入法即原理图输入法和HDL输入法之间做出比较,决定选用HDL输入法.第三章描述了具体的设计过程和设计手段,首先将简易计算机划分为运算器、CPU控制器、存储器、键盘接口和显示接口以及系统控制器,然后再往下分为下层子模块.输入法的语言使用的是Verilog HDL,鉴于篇幅所限,源代码部分不在论文之中.第四章对设计的综合与实现做了总结,给出了时序仿真波形图.该文针对FPGA和RISC这两大课题,对RISC在FPGA上的实现进行了初浅的探索与尝试.从计算机体系结构入手,剖析了精简指令集计算机的原理,通过该设计的实践对ASIC和EDA的设计潜力有了更进一步的领悟.

    标签: FPGA 指令集 计算机

    上传时间: 2013-05-21

    上传用户:hewenzhi

  • PCI从设备控制器的FPGA设计与实现

    随着星载电子系统复杂度、小型化需求的提高,SoC已经成为应对未来星载电子系统设计需求的解决途径。为了简化设计流程并且提高部件的可重用性,在目前的SoC设计中引入了称之为平台的体系结构模板,用它来描述采用已有的标准核来开发SoC的方法。在星载电子系统中常用部件的分类设计,最终建立一个包括多种功能部件,互连部件和处理部件的设计平台,从而有效的提高星载电子系统的设计能力。在当前NASA和ESA的空间应用中,PCI总线广泛作为背板总线和局部总线,有鉴于此,本研究选择PCI总线作为星载电子系统设计平台要提供的一个互连部件对其进行设计。 针对这一需求,本论文采用自项向下的设计方法对PCI总线从设备控制器的设计与实现进行了研究,对PCI总线协议做了深刻的分析,完成了PCI总线目标设备控制器的设计,采用Verilog HDL对其进行了RTL级的描述。 在该课题的研究中,采用了目前集成电路设计中常见的自顶向下设计方法,使用硬件描述语言Verilog HDL对其进行描述,重点分析了PCI总线设备控制器的设计。以PCI总线协议的分析和理解为基础,对PCI总线设备控制器进行了功能分析和结构划分。根据PCI总线设备控制器的功能和结构划分,对PCI总线目标设备控制器的设计思路和各个子模块电路的设计和实现进行了详细的分析阐述,并且通过编写测试激励程序完成了功能仿真。应用FPGA作为物理验证和实现载体,进行了面向FPGA的电路综合,进行了布局布线后的时序仿真,证明所实现的PCI目标设备控制器符合基本功能要求,在以上基础上完成了PCI目标设备控制器的FPGA实现。通过这整个论文的工作,按照设计、仿真、综合验证及布局布线的步骤,完成了PCI总线目标设备控制器IP软核的设计。

    标签: FPGA PCI 设备 控制器

    上传时间: 2013-06-07

    上传用户:tccc

  • 基于FPGA的信道均衡器的设计与实现

    在无线通信系统中,信号在传输过程中由于多径效应和信道带宽的有限性以及信道特性的不完善性导致不可避免地产生码间串扰(Intersymbol Interference).为了克服码间串扰所带来的信号畸变,则必须在接收端增加均衡器,以补偿信道特性,正确恢复发送序列.盲均衡器由于不需要训练序列,仅利用接收信号的统计特性就能对信道特性进行均衡,消除码间串扰,成为近年来通信领域研究的热点课题.本课题采用已经取得了很多研究成果的Bussgang类盲均衡算法,主要因为它的计算复杂度小,便于实时实现,具有较好的性能.本文探讨了以FPGA(Field Programmable Gates Array)为平台,使用Verilog HDL(Hardware Description Language)语言设计并实现基于Bussgang类型算法的盲均衡器的硬件系统.本文简要介绍了Bussgang类型盲均衡算法中的判决引导LMS(DDLMS)和常模(CMA)两种算法和FPGA设计流程.并详细阐述了基于FPGA的信道盲均衡器的设计思想、设计结构和Verilog设计实现,以及分别给出了各个模块的结构框图以及验证结果.本课题所设计和实现的信道盲均衡器,为电子设计自动化(EDA)技术做了有益的探索性尝试,对今后无线通信系统中的单芯片可编程系统(SOPC)的设计运用有着积极的借鉴意义.

    标签: FPGA 信道 均衡器

    上传时间: 2013-07-25

    上传用户:cuibaigao

  • 基于FPGA和PCI总线的WCDMA信号采集卡的研制

      本论文利用FPGA可编程逻辑器件和硬件描述语言Verilog,采用自顶向下的设计方法,开发了一款基于PCI总线的高速数据采集卡。本数据采集系统中,采用PLX公司生产的PLX9080作为PCI总线接口芯片。用4片每片容量为8MB的SDRAM作为数据采集的前端和PCI总线的数据缓冲。用ALTERA公司生产的Cyclone系列FPGA实现PCI接口芯片PLX9080的时序逻辑、对数据采集通道的前端控制以及对SDRAM的读写控制。  在本论文将重点放在了用硬件描述语言Verilog进行FPGA硬件逻辑编程上。本论文按照自顶向下的设计方法,详细论述了PCI接口转化电路模块、SDRAM存储片子读写控制电路模块、FPGA内部寄存器读写控制电路模块以及用于RF端的自动增益控制电路AGC模块的设计。  

    标签: WCDMA FPGA PCI 总线

    上传时间: 2013-04-24

    上传用户:yhm_all

  • 椭圆曲线密码体制中标量乘法运算的优化和FPGA实现

    信息技术的不断发展,对信息的安全提出了更高的要求.在应用公钥密码体制的时候,对密钥长度要求越来越大,处理的速度要求越来越快.而基于椭圆曲线离散对数问题的椭圆曲线密码体制,因其每比特最大的安全性,受到了越来越广泛的注意.椭圆曲线密码体制(ECC:Elliptic Curve Cryptosystem)的快速实现也成为一个关注的方面.该文按照确定有限域、选取曲线参数、划分结构模块、优化模块算法、实现模块设计,验证模块功能的顺序进行书写.为了硬件实现上的方便,设计选择了含有Ⅱ型优化正规基的伽略域GF(2191),并在该域上构造了随机的椭圆曲线.根据层次化、结构化的设计思路,将椭圆曲线上的标量乘法运算划分成两个运算层次:椭圆曲线上的运算和有限域上的运算.模块划分之后,利用自底向上的设计思路,主要针对有限域上的乘法运算进行了重要的改进,并对加法群中的标量乘运算的算法进行了分析、证明,以达到面积优化和快速执行的效果.具体设计中,采用硬件描述语言Verilog HDL,在Mentor Graphics公司出品的FPGA Advantage平台上进行电路设计.完成了各个模块的设计输入和仿真.设计选用了Altera公司的APEX Ⅱ系列器件,利用第一方软件Quartus Ⅱ 2.2进行综合、布局、布线和时序仿真.文中给出了椭圆曲线上的点加、倍点和标量乘法模块的具体设计结构框图.并且根据椭圆曲线的标量乘特点,提出了合适的验证方案.该设计完成了椭圆曲线上的标量乘法运算.设计主要针对资源受限的应用环境:改进了有限域上的乘法运算、使用了没有预处理的标量乘算法.改进后的椭圆曲线标量乘法需要2,741,998个逻辑单元,在100MHz的时钟约束下,运行一次标量乘法运算需要567.69us.该次设计的结果可以直接用来构造椭圆曲线上的签名、验证、密钥交换等算法.

    标签: FPGA 椭圆曲线 密码体制 乘法运算

    上传时间: 2013-05-24

    上传用户:zhuo0008

  • 小波变换研究及其FPGA实现

    傅里叶变换是信号处理领域中较完善、应用较广泛的一种分析手段.但傅里叶变换只是一种时域或频域的分析方法,它要求信号具有统计平稳,即时不变的特性.但是实际应用中存在很多非平稳信号,它们并不能很好的用傅立叶变换来处理.小波变换的出现解决了这个问题,它在处理非平稳信号方面具有傅立叶变换无法比拟的优越性.小波变换在通信技术、信号处理、地球物理、水利电力、医疗等领域中获得了日益广泛的应用.小波变换的研究成为了当今学术界的一个热点.随着现代数字信号处理朝着高速实时的方向发展,纯软件的程序式信号处理方法越来越不能满足实际应用的需求,因此人们希望用硬件电路来实现高速信号处理问题.基于以上原因,该文在研究了小波变换的基本理论和特点的基础上,重点研究了小波变换的VLSI电路构架,并用FPGA实现了它的功能.毫无疑问,该文所做的具体工作在理论和实践上都有参考价值.论文中,在简单介绍了小波变换的基本理论、特点和应用;对信号小波变换分解,重构的MATLAB算法进行了分析,为硬件实现奠定了理论基础.论文在研究了小波核心算法MALLAT算法的基础上,以直观的图形方式描述了算法的流程图;并由此提出了基于VLSI的电路模块架构.根据上述模块结构,对相关模块进行了硬件描述语言(VERILOG-HDL)的建模,并且在仿真平台上(ACTIVE-HDL)进行了仿真.在仿真正确的前提下,该文选用了EP20K100BC356-1V芯片作为目标器件进行了综合和后仿真,并且将仿真结果通过MATLAB与理论参数进行了比较,结果表明设计是正确的.对设计中存在的误差和部分模块的进一步优化,该文也作了分析和说明,为下一步实现通用IP核设计奠定了基础.

    标签: FPGA 小波变换

    上传时间: 2013-06-27

    上传用户:zhaoq123

  • 一种基于FPGA的新型谐波分析仪研究

    随着技术的飞速发展,电力电子装置如变频设备、变流设备等容量日益扩大,数量日益增多,使得电网中的谐波污染日益严重,给电力系统和各类用电设备带来危害,轻则增加能耗,缩短设备使用寿命,重则造成用电事故,影响安全生产.电力系统中的谐波问题早在20世纪20年代就引起了人们的注意.近年来,产生谐波的设备类型及数量均已剧增,并将继续增长,谐波造成的危害也日趋严重.该论文分析比较了传统测量谐波装置和基于FPGA的新型谐波测量仪器的特性.分析了基于FFT的谐波测量方法,综述了可编程元器件的发展过程、主要工艺发展及目前的应用情况,并介绍了一种主流硬件描述语言Verilog HDL的语法及其具体应用.分析了高速数字信号系统的信号完整性问题,提出了使用FPGA实现的整合处理器解决高速数字系统信号完整性问题的方法,并比较分析了各种主流的整合处理器解决方案的优缺点.分析了使用实时操作系统进行复杂嵌入式系统软件开发的优缺点,并在该系统软件开发中成功移植应用了实时操作系统UCOSII,改造了该操作系统中内存管理方式.研究了使用FPGA实现FFT算法的优缺点,对比分析了主要硬件实现架构的性能和优缺点,提出了一种基于浮点数的FFT算法FPGA实现架构,详细设计了基于浮点数的硬件乘法器和加法器.该设计架构运行稳定,计算速度快捷.并通过实际仿真验证了该设计的正确性和优越性.最终通过以上工作设计实现了一种新型的基于FPGA的谐波测量仪,该仪器的变送单元和采样单元通过实际型式试验检验,符合设计要求.该仪器的FPGA单元通过系统仿真,符合设计要求.

    标签: FPGA 谐波分析仪

    上传时间: 2013-04-24

    上传用户:diertiantang

  • 二维离散小波变换的FPGA实现

    小波变换是一种新兴的理论,是数学发展史上的重要成果。它无论对数学还是对工程应用都产生了深远的影响。最新的静态图像压缩标准JPEG2000就以离散小波变换(DWT)作为核心变换算法。 本文首先较为详细地分析了小波变换的理论基础,对多分辨率分析、Mallat算法和提升算法做了介绍。然后分析了JPEG2000所采用的小波滤波器,并引入了一个新的LS97小波。该小波系数简单、易于硬件实现,并且与CDF97小波有很好的兼容性,可作为CDF97小波的替代者。使用Matlab对CDF97小波和LS97小波的兼容性做仿真测试,结果表明这两个小波具有几乎相同的性能。在确定所用的小波后,本文设计了二维离散小波变换的硬件结构。设计过程中对标准二维小波变换做了优化,即将行变换和列变换的归一化步骤合并计算,这样可以减少两次乘法操作。另外还使用移位加代替乘法,提取移位加中的公共算子等方式来优化设计。对于边界数据的处理,本文采用了嵌入式对称延拓技术,不需要额外的缓存,节约了硬件资源。为提高硬件利用率,本文将LeGall53小波变换和LS97小波变换统一起来,只要一个控制信号就可实现两者之间的转换。本文所提出的结构采用基于行的变换方式,只需要六行中间数据即可完成全部行数据的小波变换。采用流水线技术提高了整个设计的运行速度。最后也给出了二维离散小波反变换的实现结构。 在完成硬件结构设计的基础上,使用Verilog硬件描述语言对整个设计进行了完全可综合的RTL级描述,采用同步设计,提高了可靠性。在Xilinx公司的FPGA开发软件ISE6.3i中对正反小波变换做了仿真和实现,结果表明,本设计能高速高精度地完成正反可逆和不可逆小波变换,可以满足各种实时性要求。

    标签: FPGA 二维 离散小 波变换

    上传时间: 2013-07-25

    上传用户:sn2080395