虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

FPGA-jpeg-Verilog

  • 基于FPGA设计的sdram读写测试实验Verilog逻辑源码Quartus工程文件+文档说明 DR

    基于FPGA设计的sdram读写测试实验Verilog逻辑源码Quartus工程文件+文档说明,DRAM选用海力士公司的 HY57V2562 型号,容量为的 256Mbit,采用了 54 引脚的TSOP 封装, 数据宽度都为 16 位, 工作电压为 3.3V,并丏采用同步接口方式所有的信号都是时钟信号。FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ps/1psmodule top(input                        clk,input                        rst_n,output[1:0]                  led,output                       sdram_clk,     //sdram clockoutput                       sdram_cke,     //sdram clock enableoutput                       sdram_cs_n,    //sdram chip selectoutput                       sdram_we_n,    //sdram write enableoutput                       sdram_cas_n,   //sdram column address strobeoutput                       sdram_ras_n,   //sdram row address strobeoutput[1:0]                  sdram_dqm,     //sdram data enable output[1:0]                  sdram_ba,      //sdram bank addressoutput[12:0]                 sdram_addr,    //sdram addressinout[15:0]                  sdram_dq       //sdram data);parameter MEM_DATA_BITS          = 16  ;        //external memory user interface data widthparameter ADDR_BITS              = 24  ;        //external memory user interface address widthparameter BUSRT_BITS             = 10  ;        //external memory user interface burst widthparameter BURST_SIZE             = 128 ;        //burst sizewire                             wr_burst_data_req;       // from external memory controller,write data request ,before data 1 clockwire                             wr_burst_finish;         // from external memory controller,burst write finish

    标签: fpga sdram verilog quartus

    上传时间: 2021-12-18

    上传用户:lostxc

  • 基于FPGA设计的vga显示测试实验Verilog逻辑源码Quartus工程文件+文档说明 FPGA

    基于FPGA设计的vga显示测试实验Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, //vga output         output                      vga_out_hs, //vga horizontal synchronization          output                      vga_out_vs, //vga vertical synchronization                   output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue );wire                            video_clk;wire                            video_hs;wire                            video_vs;wire                            video_de;wire[7:0]                       video_r;wire[7:0]                       video_g;wire[7:0]                       video_b;assign vga_out_hs = video_hs;assign vga_out_vs = video_vs;assign vga_out_r  = video_r[7:3]; //discard low bit dataassign vga_out_g  = video_g[7:2]; //discard low bit dataassign vga_out_b  = video_b[7:3]; //discard low bit data//generate video pixel clockvideo_pll video_pll_m0( .inclk0(clk), .c0(video_clk));color_bar color_bar_m0( .clk(video_clk), .rst(~rst_n), .hs(video_hs), .vs(video_vs), .de(video_de), .rgb_r(video_r), .rgb_g(video_g), .rgb_b(video_b));endmodule

    标签: fpga vga显示 verilog quartus

    上传时间: 2021-12-19

    上传用户:kingwide

  • FPGA片内FIFO读写测试Verilog逻辑源码Quartus工程文件+文档说明 使用 FPGA

    FPGA片内FIFO读写测试Verilog逻辑源码Quartus工程文件+文档说明,使用 FPGA 内部的 FIFO 以及程序对该 FIFO 的数据读写操作。FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////module fifo_test( input clk,           //50MHz时钟 input rst_n              //复位信号,低电平有效 );//-----------------------------------------------------------localparam      W_IDLE      = 1;localparam      W_FIFO     = 2; localparam      R_IDLE      = 1;localparam      R_FIFO     = 2; reg[2:0]  write_state;reg[2:0]  next_write_state;reg[2:0]  read_state;reg[2:0]  next_read_state;reg[15:0] w_data;    //FIFO写数据wire      wr_en;    //FIFO写使能wire      rd_en;    //FIFO读使能wire[15:0] r_data; //FIFO读数据wire       full;  //FIFO满信号 wire       empty;  //FIFO空信号 wire[8:0]  rd_data_count;  wire[8:0]  wr_data_count;  ///产生FIFO写入的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) write_state <= W_IDLE; else write_state <= next_write_state;endalways@(*)begin case(write_state) W_IDLE: if(empty == 1'b1)               //FIFO空, 开始写FIFO next_write_state <= W_FIFO; else next_write_state <= W_IDLE; W_FIFO: if(full == 1'b1)                //FIFO满 next_write_state <= W_IDLE; else next_write_state <= W_FIFO; default: next_write_state <= W_IDLE; endcaseendassign wr_en = (next_write_state == W_FIFO) ? 1'b1 : 1'b0; always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) w_data <= 16'd0; else    if (wr_en == 1'b1)     w_data <= w_data + 1'b1; else          w_data <= 16'd0; end///产生FIFO读的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) read_state <= R_IDLE; else read_state <= next_read_state;endalways@(*)begin case(read_state) R_IDLE: if(full == 1'b1)               //FIFO满, 开始读FIFO next_read_state <= R_FIFO; else next_read_state <= R_IDLE; R_FIFO: if(empty == 1'b1)   

    标签: fpga fifo verilog quartus

    上传时间: 2021-12-19

    上传用户:20125101110

  • 用Verilog实现的贪吃蛇游戏(基于FPGA开发板)讲解

    该文档为用Verilog实现的贪吃蛇游戏(基于FPGA开发板)讲解文档,是一份很不错的参考资料,具有较高参考价值,感兴趣的可以下载看看………………

    标签: verilog fpga

    上传时间: 2022-01-19

    上传用户:1208020161

  • AD9764 14位DAC数据采集FPGA VERILOG 逻辑驱动Quatus prime 18.

    AD9764 14位DAC数据采集FPGA VERILOG 逻辑驱动Quatus prime 18.0完整工程文件,可以做为的设计参考。

    标签: ad9764 dac 数据采集 fpga verilog

    上传时间: 2022-01-29

    上传用户:ooaaooxx

  • ADS8329 Verilog fpga 驱动源码 2.7V 至 5.5V 16 位 1MSPS 串

    ADS8329 Verilog fpga 驱动源码,2.7V 至 5.5V 16 位 1MSPS 串行模数转换器 ADC芯片ADS8329数据采集的verilog代码,已经用在工程中,可以做为你的设计参考。( input clock,  input timer_clk_r, input reset,  output reg sample_over,  output reg ad_convn,  input ad_eocn,  output reg ad_csn,  output reg ad_clk,  input ad_dout,  output reg ad_din,  output reg [15:0] ad_data_lock);reg [15:0] ad_data_old;reg [15:0] ad_data_new;  reg [19:0] ad_data_temp; reg [15:0] ad_data;reg [4:0]  ad_data_cnt;reg [4:0]  ad_spi_cnt; reg [5:0]  time_dly_cnt;   parameter [3:0] state_mac_IDLE = 0,                state_mac_0 = 1,                state_mac_1 = 2,                state_mac_2 = 3,                state_mac_3 = 4,                state_mac_4 = 5,                state_mac_5 = 6,                state_mac_6 = 7,     state_mac_7 = 8,                state_mac_8 = 9,                state_mac_9 = 10,     state_mac_10 = 11,                state_mac_11 = 12,                state_mac_12 = 13,     state_mac_13 = 14,                state_mac_14 = 15; reg [3:0] state_curr;reg [3:0] state_next;

    标签: ads8329 verilog fpga 驱动

    上传时间: 2022-01-30

    上传用户:1208020161

  • FPGA Verilog HDL设计温度传感器ds18b20温度读取并通过lcd1620和数码管显示

    FPGA Verilog HDL设计温度传感器ds18b20温度读取并通过lcd1620和8位LED数码管显示的QUARTUS II 12.0工程文件,包括完整的设计文件.V源码,可以做为你的学习及设计参考。module ds18b20lcd1602display ( Clk, Rst,      DQ,   //18B20数据端口 Txd,  //串口发送端口 LCD_Data, //lcd LCD_RS, LCD_RW, LCD_En, SMData, //数码管段码 SMCom   //数码管位码 );input Rst,Clk;output Txd,LCD_RS,LCD_En,LCD_RW;inout DQ;output[7:0] LCD_Data;output[7:0] SMData;output[3:0] SMCom;wire DataReady;//测温完成信号wire [15:0] MeasureResult;//DS18B20测温结果reg  [15:0] Temperature;//产生LCD的位码和段码LCD1602Display Gen_LCD(.resetin(Rst),.clkin(Clk),.Data16bIn(Temperature),.lcd_data(LCD_Data),.lcd_rs(LCD_RS),.lcd_rw(LCD_RW),.lcd_e(LCD_En)/*,.SMCom(SMCom)*/);//DS18B20测温和发送  DS18B20 TmpMeasureAndTx(.Rst(Rst),.Clk(Clk),.DQ(DQ),.Txd(Txd),.FinishFlag(DataReady),.Data16b(MeasureResult));//产生数码管的位码和段码SMDisplay Gen_SM(.Rst(Rst),.

    标签: fpga verilog hdl 温度传感器 ds18b20 lcd1620 数码显示

    上传时间: 2022-01-30

    上传用户:wwa875

  • fpga驱动lcd液晶12864的verilog源程序

    fpga驱动lcd液晶12864的verilog源程序.适合新手学习参考

    标签: fpga lcd 液晶 verilog

    上传时间: 2022-04-18

    上传用户:GGMD

  • verilog实现的FPGA三态以太网链路层通信代码

    verilog实现的FPGA三态以太网链路层通信代码.

    标签: verilog fpga 以太网 通信

    上传时间: 2022-04-24

    上传用户:lijumiao

  • FPGA重点难点汇总(Verilog)

    该文档为FPGA重点难点汇总(Verilog)总结文档,是一份很不错的参考资料,具有较高参考价值,感兴趣的可以下载看看………………

    标签: fpga

    上传时间: 2022-04-25

    上传用户:fliang