虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

FOXMAIL帐户口令清除器

  • 基于FPGA的Viterbi译码器设计与实现.rar

    卷积码是广泛应用于卫星通信、无线通信等多种通信系统的信道编码方式。Viterbi算法是卷积码的最大似然译码算法,该算法译码性能好、速度快,并且硬件实现结构比较简单,是最佳的卷积码译码算法。随着可编程逻辑技术的不断发展,使用FPGA实现Viterbi译码器的设计方法逐渐成为主流。不同通信系统所选用的卷积码不同,因此设计可重配置的Viterbi译码器,使其能够满足多种通信系统的应用需求,具有很重要的现实意义。 本文设计了基于FPGA的高速Viterbi译码器。在对Viterbi译码算法深入研究的基础上,重点研究了Viterbi译码器核心组成模块的电路实现算法。本设计中分支度量计算模块采用只计算可能的分支度量值的方法,节省了资源;加比选模块使用全并行结构保证处理速度;幸存路径管理模块使用3指针偶算法的流水线结构,大大提高了译码速度。在Xilinx ISE8.2i环境下,用VHDL硬件描述语言编写程序,实现(2,1,7)卷积码的Viterbi译码器。在(2,1,7)卷积码译码器基础上,扩展了Viterbi译码器的通用性,使其能够对不同的卷积码译码。译码器根据不同的工作模式,可以对(2,1,7)、(2,1,9)、(3,1,7)和(3,1,9)四种广泛运用的卷积码译码,并且可以修改译码深度等改变译码器性能的参数。 本文用Simulink搭建编译码系统的通信链路,生成测试Viterbi译码器所需的软判决输入。使用ModelSim SE6.0对各种模式的译码器进行全面仿真验证,Xilinx ISE8.2i时序分析报告表明译码器布局布线后最高译码速度可达200MHz。在FPGA和DSP组成的硬件平台上进一步测试译码器,译码器运行稳定可靠。最后,使用Simulink产生的数据对本文设计的Viterbi译码器的译码性能进行了分析,仿真结果表明,在同等条件下,本文设计的Viterbi译码器与Simulink中的Viterbi译码器模块的译码性能相当。

    标签: Viterbi FPGA 译码器

    上传时间: 2013-06-24

    上传用户:myworkpost

  • 基于FPGA的RS255,223编解码器的高速并行实现.rar

    随着信息时代的到来,用户对数据保护和传输可靠性的要求也在不断提高。由于信道衰落,信号经信道传输后,到达接收端不可避免地会受到干扰而出现信号失真。因此需要采用差错控制技术来检测和纠正由信道失真引起的信息传输错误。RS(Reed—Solomon)码是差错控制领域中一类重要的线性分组码,由于它编解码结构相对固定,性能强,不但可以纠正随机差错,而且对突发错误的纠错能力也很强,被广泛应用在数字通信、数据存储系统中,以满足对数据传输通道可靠性的要求。因此设计一款高性能的RS编解码器不但具有很大的应用意义,而且具有相当大的经济价值。 本文首先介绍了线形分组码及其子码循环码、BCH码的基础理论知识,重点介绍了BCH码的重要分支RS码的常用编解码算法。由于其算法在有限域上进行,接着介绍了有限域的有关理论。基于RS码传统的单倍结构,本文提出了一种八倍并行编码及九倍并行解码方案,并用Verilog HDL语言实现。其中编码器基于传统的线性反馈移位寄存器除法电路并进行八倍并行扩展,译码器关键方程求解模块基于修正的欧几里德算法设计了一种便于硬件实现的脉动关键方程求解结构,其他模块均采用九倍并行实现。由于进行了超前运算、流水线及并行处理,使编解码的数据吞吐量大为提高,同时延时更小。 本论文设计了C++仿真平台,并与HDL代码结果进行了对比验证。Verilog HDL代码经过modelsim仿真验证,并在ALTERA STRATIX3 EP3SL15OF1152C2 FPGA上进行综合验证以及静态时序分析,综合软件为QUATURSⅡ V8.0。验证及测试表明,本设计在满足编解码基本功能的基础上,能够实现数据的高吞吐量和低延时传输,达到性能指标要求。本论文在基于FPGA的RS(255,223)编解码器的高速并行实现方面的研究成果,具有通用性、可移植性,有一定的理论及经济价值。

    标签: FPGA 255 223

    上传时间: 2013-04-24

    上传用户:思琦琦

  • ICD2仿真烧写器--USB驱动程序.rar

    ICD2仿真烧写器--USB驱动程序。。

    标签: ICD2 USB 仿真

    上传时间: 2013-07-29

    上传用户:20160811

  • 移动无线信道特性及基于FPGA的信道仿真器实现.rar

    移动无线信道特性对移动通信系统性能具有重要影响,移动信道建模和仿真对移动通信系统的研发具有重要意义。因此,对移动信道建模与仿真进行研究,具有重要的理论意义和实际应用价值。 本文从无线电波的传播特点出发,分析了无线电波的传播模型和描述信道特性的主要参数,重点分析了移动小尺度衰落模型;结合无线电波传输环境的特点,研究了平坦衰落信道和频率选择性信道的特点,设计了基于FPGA的移动无线信道仿真器,同时给予了软硬件验证。 本文从衰落的数学模型角度研究了信道传输特性,以及各项参数对信道特性的影响。主要做了以下几个方面的工作: 1.简要介绍了无线电通信的发展史及信道建模与仿真的意义;论述了信道对无线信号主要的三类影响:自由空间的路径损失、阴影衰落、多径衰落;分析了无线通信传播环境,移动无线通信信道仿真的基本模型,同时介绍了用正弦波叠加法和成型滤波器法建立信道确定型仿真模型的具体实现方法。 2.对移动无线信道特性进行了Matlab仿真,对仿真结果进行了对比分析,对影响信道特性的主要参数设置进行了分析仿真。 3.设计了一种基于FPGA的移动无线信道仿真器,并对实现该仿真器的关键技术和实现方法进行了分析。该信道仿真器能够实时模拟窄带信号条件下无线信道的主要特点,如多径时延、多普勒频移、瑞利衰落等,其主要的技术指标达到了设计要求。该模拟器结构简单,参数可调,易于扩展,通用性强,可以部分或全部集成到处于研制阶段的接收机中,以便于性能测试,也可应用于教学实践。

    标签: FPGA 移动 无线信道

    上传时间: 2013-04-24

    上传用户:suxuan110425

  • MPEG2视频解码器的FPGA设计.rar

    MPEG-2是MPEG组织在1994年为了高级工业标准的图象质量以及更高的传输率所提出的视频编码标准,其优秀性使之成为过去十年应用最为广泛的标准,也是未来十年影响力最为广泛的标准之一。 本文以MPEG-2视频标准为研究内容,建立系统级设计方案,设计FPGA原型芯片,并在FPGA系统中验证视频解码芯片的功能。最后在0.18微米工艺下实现ASIC的前端设计。完成的主要工作包括以下几个方面: 1.完成解码系统的体系结构的设计,采用了自顶而下的设计方法,实现系统的功能单元的划分;根据其视频解码的特点,确定解码器的控制方式;把视频数据分文帧内数据和帧间数据,实现两种数据的并行解码。 2.实现了具体模块的设计:根据本文研究的要求,在比特流格式器模块设计中提出了特有的解码方式;在可变长模块中的变长数据解码采用组合逻辑外加查找表的方式实现,大大减少了变长数据解码的时间;IQ、IDCT模块采用流水的设计方法,减少数据计算的时间:运动补偿模块,针对模块数据运算量大和访问帧存储器频繁的特点,采用四个插值单元同时处理,增加像素缓冲器,充分利用并行性结构等方法来加快运动补偿速度。 3.根据视频解码的参考软件,通过解码系统的仿真结果和软件结果的比较来验证模块的功能正确性。最后用FPGA开发板实现了解码系统的原型芯片验证,取得了良好的解码效果。 整个设计采用Verilog HDL语言描述,通过了现场可编程门阵列(FPGA)的原型验证,并采用SIMC0.18μm工艺单元库完成了该电路的逻辑综合。经过实际视频码流测试,本文设计可以达到MPEG-2视频主类主级的实时解码的技术要求。

    标签: MPEG2 FPGA 视频解码器

    上传时间: 2013-07-27

    上传用户:ice_qi

  • MP3音频解码器的FPGA原型芯片设计与实现.rar

    MP3音乐是目前最为流行的音乐格式,因其音质、复杂度与压缩比的完美折中,占据着广阔的市场,不仅在互联网上广为流传,而且在便携式设备领域深受人们喜爱。本文以MPEG-1的MP3音频解码器为研究对象,在实时性、面积等约束条件下,研究MP3解码电路的设计方法,实现FPGA原型芯片,研究MP3原型芯片的验证方法。 论文的主要贡献如下: (1)使用算法融合方法合并MP3解码过程的相关步骤,以减少缓冲区存储单元的容量和访存次数。如把重排序步骤融合到反量化模块,可以减少一半的读写RAM操作;把IMDCT模块内部的三个算法步骤融合在一起进行设计,可以省去存储中间计算结果的缓存区单元。 (2)反量化、立体声处理等模块中,采用流水线设计技术,设置寄存器把较长的组合逻辑路径隔开,提高了电路的性能和可靠性;使用连续访问公共缓存技术,合理规划各计算子模块的工作时序,将数据计算的时间隐藏在访存过程中;充分利用频率线的零值区特性,有效地减少数据计算量,加快了数据处理的速度。 (3)设计了MP3硬件解码器的FPGA原型芯片。采用Verilog HDL硬件描述语言设计RTL级电路,完成功能仿真,以Altera公司Stratix II系列的EP2S180 FPGA开发板为平台,实现MP3解码器的FPGA原型芯片。MP3硬件解码器在Stratix II EP2S180器件内的资源利用率约为5%,其中组合逻辑查找表ALUT为7189个,寄存器共有4024个,系统频率可达69.6MHz,充分满足了MP3解码过程的实时性要求。实验结果表明,MP3音频解码FPGA原型芯片可正常播放声音,解码音质良好。

    标签: FPGA MP3 音频解码器

    上传时间: 2013-07-01

    上传用户:xymbian

  • 安川变频器逆变电路.rar

    安川变频器的大功率逆变电路很有特色,它没有采用负电源,值得大家学习。

    标签: 变频器 逆变电路

    上传时间: 2013-07-28

    上传用户:kkchan200

  • DVBSS2调制器的设计及其FPGA实现.rar

    数字高清电视是当前世界上最先进的图像压缩编码技术和数字传输技术的结合,是高技术竞争的焦点之一。其中,信道处理系统及其相关芯片更是集中了数字信号处理、前向纠错编解码等数字电视传输的核心技术,成为设计和开发整个数字电视系统的关键技术之一。本文以卫星数字电视的信道处理系统为对象,结合国际通行的DVB-S/S2标准,研究了该系统在发射端的设计与实现所涉及到的一系列内容。 本文介绍了数字电视的发展概况和主要标准,特别是对我国卫星电视的发展进行了详细的介绍。然后,本文DVB-S/S2信道处理系统的基本原理进行了介绍和分析,主要包括RS码、卷积码、BCH码、LDPC码等的差错编码的基本原理,以及基带信号处理的基本原理。在此基础上对两种系统的传输性能和DVB-S2的后向兼容系统分别进行了基于Matlab的仿真。最后阐述了基于FPGA的DVB-S调制器的信道编码和调制实现,按功能对DVB-S/S2信道编码过程进行模块分解,并针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现。DVB-S/S2调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器、卷积编码、BCH编码、LDPC编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性。

    标签: DVBSS2 FPGA 调制器

    上传时间: 2013-07-10

    上传用户:gmh1314

  • 基于FPGA的绝对式光电编码器通信接口研究.rar

    高速、高精度已经成为伺服驱动系统的发展趋势,而位置检测环节是决定伺服系统高速、高精度性能的关键环节之一。光电编码器作为伺服驱动系统中常用的检测装置,根据结构和原理的不同分为增量式和绝对式。本文从原理上对增量式光电编码器和绝对式光电编码器做了深入的分析,通过对比它们的特性,得出了绝对式光电编码器更适合高速、高精度伺服驱动系统的结论。 绝对式光电编码器精度高、位数多的特点决定其通信方式只能采取串行传输方式,且由相应的通信协议控制信息的传输。本文首先针对编码器主要生产厂商日本多摩川公司的绝对式光电编码器,深入研究了通信协议相关的硬件电路、数据帧格式、时序等。随后介绍了新兴的电子器件FPGA及其开发语言硬件描述语言Verilog HDL,并对基于FPGA的绝对式编码器通信接口电路做了可行性的分析。在此基础上,采用自顶向下的设计方法,将整个接口电路划分成发送模块、接收模块、序列控制模块等多个模块,各个模块采用Verilog语言进行描述设计编码器接口电路。最终的设计在相关硬件电路上实现。最后,通过在TMS320F2812伺服控制平台上编写的硬件驱动程序验证了整个设计的各项功能,达到了设计的要求。

    标签: FPGA 光电编码器 通信接口

    上传时间: 2013-07-11

    上传用户:snowkiss2014

  • 数字电视传输系统中LDPC码编码器的研究与FPGA实现.rar

    自香农先生于1948年开创信息论以来,经过将近60年的发展,信道编码技术已经成为通信领域的一个重要分支,各种编码技术层出不穷。目前广泛研究的低密度奇偶校验(LDCP)码是由R.G.Gallager先生提出的一种具有逼近香农限性能的优秀纠错码,并已在数字电视、无线通信、磁盘存储等领域得到大量应用。 目前数字电视已经成为最热门的话题之一,用手机看北京奥运,已经成为每一个中国人的梦想。最近两年我国颁布了两部与数字电视有关的通信标准,分别是数字电视地面传输标准(DMB-TH)和移动多媒体(CMMB)即俗称的手机电视标准。数字电视正与每个人走得越来越近,我国预期在2015年全面实现数字电视并停止模拟电视的播出。作为数字电视标准的核心技术之一的前向纠错码技术已经成为众多科研单位的研究热点,相应的编解码芯片更成为重中之重。在DMB-TH标准中用到了LDPC码和BCH码的级联编码方式,在CMMB标准中用到了LDPC码和RS码的级联编码方式,在DVB-S2标准中用到了LDPC码和BCH码的级联编码方式。 本论文以目前最重要的三个与数字电视相关的标准:数字电视地面传输标准(DMB-TH)、手机电视标准(CMMB)以及数字卫星电视广播标准(DVB-S2)为切入点,深入研究它们的编码方式,设计了这三个标准中的LDPC码编码器,并在FPGA上实现了前两个标准的编码芯片,实现了DMB-TH标准中0.4、0.6以及0.8三种码率的复用。在研究CMMB标准中编码器设计时,提出一种改进的LU分解算法,该分解方式适合任意的H矩阵,具有一定的广泛性。测试结果表明,芯片逻辑功能完全正确,速度和资源消耗均达到了标准的要求,具有一定的商用价值。

    标签: LDPC FPGA 数字电视

    上传时间: 2013-07-07

    上传用户:327000306