虫虫首页|资源下载|资源专辑|精品软件
登录|注册

DDS

DDS信号发生器采用直接数字频率合成(DirectDigitalSynthesis,简称DDS)技术,把信号发生器的频率稳定度、准确度提高到与基准频率相同的水平,并且可以在很宽的频率范围内进行精细的频率调节。采用这种方法设计的信号源可工作于调制状态,可对输出电平进行调节,也可输出各种波形。
  • 基于FPGA的DDS信号源的设计

    频率合成技术广泛应用于通信、航空航天、仪器仪表等领域,目前,常用的频率合成技术有直接频率合成、锁相频率合成和直接数字频率合成(DDS)等。其中DDS是一种新的频率合成方法,是频率合成的一次革命。全数字化的DDS技术由于具有频率分辨率高、频率切换速度快、相位噪声低和频率稳定度高等优点而成为现代频率合成技术中的佼佼者。随着数字集成电路、微电子技术和EDA技术的深入研究,DDS技术得到了飞速的发展。 DDS是把一系列数字量化形式的信号通过D/A转换形成模拟量形式的信号的合成技术。主要是利用高速存储器作查寻表,然后通过高速D/A转换产生已经用数字形式存入的正弦波(或其它任意波形)。一个典型的DDS系统应包括以下三个部分:相位累加器可以时钟的控制下完成相位的累加;相位一幅度码转换电路一般由ROM实现;D/A转换电路,将数字形式的幅度码转换成模拟信号。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。本论文主要讨论了如何利用FPGA来实现一个DDS系统,该DDS系统的硬件结构是以FPGA为核心实现的,使用Altera公司的Cyclone系列FPGA。 文章首先介绍了频率合成器的发展,阐述了基于FPGA实现DDS技术的意义;然后介绍了DDS的基本理论;接着介绍了FPGA的基础知识如结构特点、开发流程、使用工具等;随后介绍了利用FPGA实现直接数字频率合成(DDS)的原理、电路结构、优化方法等。重点介绍DDS技术在FPGA中的实现方法,给出了部分VHDL源程序。采用该方法设计的DDS系统可以很容易地嵌入到其他系统中而不用外接专用DDS芯片,具有高性能、高性价比,电路结构简单等特点;接着对输出信号频谱进行了分析,特别是对信号的相位截断误差和幅度量化误差进行了详细的讨论,由此得出了改善系统性能的几种方法;最后给出硬件实物照片和测试结果,并对此作了一定的分析。

    标签: FPGA DDS 信号源

    上传时间: 2013-04-24

    上传用户:yx007699

  • 基于FPGA的DDS双通道波形发生器

    直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提供了一种全新的设计模式。本论文结合这两项技术,并利用单片机控制灵活的特点,开发了一种双通道波形发生器。在实现过程中,选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用ATMAL的AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。 本文首先介绍了波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。并且通过系列实验,详细地分析了该波形发生器的功能、性能、实现和实验结果。最后,结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA实现基于DDS架构的双路波形发生器是可行的。

    标签: FPGA DDS 双通道 波形发生器

    上传时间: 2013-04-24

    上传用户:gxf2016

  • 基于DDS技术的智能信号发生器的设计

    本文提出了一种以直接数字频率合成(DDS)技术为基础的信号发生器的设计。采用单片机AT89C51 控制DDS 芯片AD9850 产生频率可调的正弦信号,并通过低通滤波器得到纯正的信号,最后经过

    标签: DDS 智能信号 发生器

    上传时间: 2013-04-24

    上传用户:ruan2570406

  • DDS 短波信号发生器

    基于AD9851的DDS短波信号发生器,详细论述AD9851的使用,在做高频信号源时很值得参考。

    标签: DDS 短波信号 发生器

    上传时间: 2013-05-26

    上传用户:qweqweqwe

  • CPLD实现DDS信号源的设计

    CPLD实现DDS信号源的设计,从原理到设计!

    标签: CPLD DDS 信号源

    上传时间: 2013-05-27

    上传用户:Ants

  • 本文介绍了如何用VHDL进行DDS的设计

    本文介绍了如何用VHDL进行DDS的设计,其中关键的相位累加器,正弦信号发生器等用VHDL描述

    标签: VHDL DDS

    上传时间: 2013-08-05

    上传用户:新手无忧

  • 采用vhdl语言实现正弦波形的生成,主要使用的DDS技术

    采用vhdl语言实现正弦波形的生成。主要使用的DDS技术。

    标签: vhdl DDS 语言 正弦

    上传时间: 2013-08-09

    上传用户:aeiouetla

  • 能完全模拟DDS芯片的工作

    能完全模拟DDS芯片的工作,在CPLD的输出引脚后接上相应的D/A转换芯片并接上低通滤波器,将得到非常好的正旋波

    标签: DDS 模拟 芯片

    上传时间: 2013-08-09

    上传用户:3294322651

  • 利用FPGA实现DDS经过编译没有错误。编译环境为QuartusII7.2

    利用FPGA实现DDS经过编译没有错误。编译环境为QuartusII7.2,该环境集成了IP核,可以提高开发效率。

    标签: QuartusII FPGA DDS 7.2

    上传时间: 2013-08-10

    上传用户:zhuyibin

  • 利用FPGA实现的DDS

    利用FPGA实现的DDS,可输出正弦波,输出频率可调

    标签: FPGA DDS

    上传时间: 2013-08-11

    上传用户:蠢蠢66