虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

DDR-sdram

  • DSP Program

    DSP 初始化EMIF SDRAM 和 FLASH测试代码,已测试成功!

    标签: DSP,SDRAM

    上传时间: 2015-03-05

    上传用户:胖飞smile

  • 高级FPGA教学实验指导书-逻辑设计

    第一章、ALTERA QUATUSII 5.0 使用介绍...................................... 3 1. 概述.................................................................. 3 2. QUATUSII 设计过程..................................................... 5 2.1. 建立工程.......................................................... 5 2.2. 建立设计.......................................................... 6 2.2.1 使用QUATUSII BLOCK EDITOR 建立原理图文件.............................. 7 2.2、2 使用 QUARTUS II TEXT EDITOR .......................................... 8 2.2.3 使用 VERILOG HDL、VHDL 与 AHDL ...................................... 9 3. 编译综合设计.......................................................... 9 4. 仿真工程............................................................. 11 5. 分配设备与管脚....................................................... 12 6. 程序下载............................................................. 15 7. 调试与软件逻辑分析仪的使用........................................... 16 7.1. 设置和运行 SIGNALTAP II 逻辑分析器................................. 17 7.2. 设置触发器: ..................................................... 18 第二章 FPGA 试验平台介绍................................................. 19 1 简介................................................................... 19 2 主要的器件和特性....................................................... 19 3 LED,拨码开关和按键................................................... 21 3.1 十二个发光二极管(LED)七段数码显示器.............................. 21 3.2 四位拨码开关和两个功能按键......................................... 24 4 RS-232 串口............................................................ 24 5 PS/2 鼠标、键盘接口.................................................... 26 6 VGA 接口.............................................................. 26 7 USB1.1 接口........................................................... 26 8 LCD 接口.............................................................. 27 9 高速,异步SRAM ....................................................... 27 10 高速,同步SDRAM ...................................................... 33 11 大容量,快速FLASH .................................................... 35 12 USB2.0 芯片接口....................................................... 38 13 编程和调试接口....................................................... 39 14 时钟源............................................................... 39 15 电源方案............................................................. 41 16 复位电路............................................................. 42 17 扩展板接口........................................................... 42 第三章 数字电路与数字系统试验........................................... 45 第一部分 基础试验....................................................... 45 实验一 3/8 译码器....................................................... 45 实验二 分频器........................................................... 47 实验三 BCD 七段显示译码器实验............................................ 47 实验四 模拟74LS160 计数器实验........................................... 50 实验五 交通灯控制器..................................................... 51 实验六 乒乓球游戏机..................................................... 52 试验七 扫描数码显示器................................................... 54 试验八 频率计........................................................... 56 第二部分 接口控制器试验................................................. 58 试验九 RS-232 串口控制器................................................. 58 试验十 LCD 显示试验...................................................... 60 试验十一 VGA 控制输出试验............................................... 64 试验十二 PS/2 键盘控制器试验............................................ 66 试验十三 接口互连试验................................................... 69

    标签: FPGA

    上传时间: 2015-10-08

    上传用户:shzweh1234

  • Footprint Maker 0.08 FPM

    是否要先打开ALLEGRO? 不需要(当然你的机器须有CADENCE系统)。生成完封装后在你的输出目录下就会有几千个器件(全部生成的话),默认输出目录为c:\MySym\. Level里面的Minimum, Nominal, Maximum 是什么意思? 对应ipc7351A的ABC封装吗? 是的 能否将MOST, NOMINAL, LEAST三种有差别的封装在命名上也体现出差别? NOMINAL 的名称最后没有后缀,MOST的后缀自动添加“M”,LEAST的后缀自动添加“L”,你看看生成的库名称就知道了。(直插件以及特别的器件,如BGA等是没有MOST和LEAST级别的,对这类器件只有NOMINAL) IC焊盘用长方形好像比用椭圆形的好,能不能生成长方形的? 嗯。。。。基本上应该是非直角的焊盘比矩形的焊盘好,我记不得是AMD还是NS还是AD公司专门有篇文档讨论了这个问题,如果没有记错的话至少有以下好处:信号质量好、更省空间(特别是紧密设计中)、更省锡量。我过去有一篇帖子有一个倒角焊盘的SKILL,用于晶振电路和高速器件(如DDR的滤波电容),原因是对宽度比较大的矩形用椭圆焊盘也不合适,这种情况下用自定义的矩形倒角焊盘就比较好了---你可以从网上另外一个DDR设计的例子中看到。 当然,我已经在程序中添加了一选择项,对一些矩形焊盘可以选择倒角方式. 刚才试了一下,感觉器件的命名的规范性不是太好,另好像不能生成器件的DEVICE文件,我没RUN完。。。 这个程序的命名方法基本参照IPC-7351,每个人都有自己的命名嗜好,仍是不好统一的;我是比较懒的啦,所以就尽量靠近IPC-7351了。 至于DEVICE,的选项已经添加 (这就是批量程序的好处,代码中加一行,重新生产的上千上万个封装就都有新东西了)。 你的库都是"-"的,请问用过ALLEGRO的兄弟,你们的FOOTPRINT认"-"吗?反正我的ALLEGRO只认"_"(下划线) 用“-”应该没有问题的,焊盘的命名我用的是"_"(这个一直没改动过)。 部分丝印画在焊盘上了。 丝印的问题我早已知道,只是尽量避免开(我有个可配置的SilkGap变量),不过工作量比较大,有些已经改过,有些还没有;另外我没有特别费功夫在丝印上的另一个原因是,我通常最后用AUTO-SILK的来合并相关的层,这样既方便快捷也统一各个器件的丝印间距,用AUTO-SILK的话丝印线会自动避开SOLDER-MASK的。 点击allegro后命令行出现E- Can't change to directory: Files\FPM,什么原因? 我想你一定是将FPM安装在一个含空格的目录里面了,比如C:\Program Files\等等之类,在自定义安装目录的时候该目录名不能含有空格,且存放生成的封装的目录名也不能含有空格。你如果用默认安装的话应该是不会有问题的, 默认FPM安装在C:\FPM,默认存放封装的目录为C:\MYSYM 0.04版用spb15.51生成时.allegro会死机.以前版本的Allegro封装生成器用spb15.51生成时没有死机现象 我在生成MELF类封装的时候有过一次死机现象,估计是文件操作错误导致ALLEGRO死机,原因是我没有找到在skill里面直接生成SHAPE焊盘的方法(FLASH和常规焊盘没问题), 查了下资料也没有找到解决方法,所以只得在外部调用SCRIPT来将就一下了。(下次我再查查看),用SCRIPT的话文件访问比较频繁(幸好目前MELF类的器件不多). 解决办法: 1、对MELF类器件单独选择生成,其它的应该可以一次生成。 2、试试最新的版本(当前0.05) 请说明运行在哪类器件的时候ALLEGRO出错,如果不是在MELF附近的话,请告知,谢谢。 用FPM0.04生成的封装好像文件都比较大,比如CAPC、RES等器件,都是300多K,而自己建的或采用PCB Libraries Eval生成的封装一般才几十K到100K左右,不知封装是不是包含了更多的信息? 我的每个封装文件包含了几个文字层(REF,VAL,TOL,DEV,PARTNUMBER等),SILK和ASSEM也是分开的,BOND层和高度信息,还有些定位线(在DISP层),可能这些越来越丰富的信息加大了生成文件的尺寸.你如果想看有什么内容的话,打开所有层就看见了(或REPORT) 非常感谢 LiWenHui 发现的BUG, 已经找到原因,是下面这行: axlDBChangeDesignExtents( '((-1000 -1000) (1000 1000))) 有尺寸空间开得太大,后又没有压缩的原因,现在生成的封装也只有几十K了,0.05版已经修复这个BUG了。 Allegro封装生成器0.04生成do-27封装不正确,生成封装的焊盘的位号为a,c.应该是A,B或者1,2才对. 呵呵,DIODE通常管脚名为AC(A = anode, C = cathode) 也有用AK 或 12的, 极少见AB。 除了DIODE和极个别插件以及BGA外,焊盘名字以数字为主, 下次我给DIODE一个选择项,可以选择AC 或 12 或 AK, 至于TRANSISTER我就不去区分BCE/CBE/ECB/EBC/GDS/GSD/DSG/DGS/SGD/SDG等了,这样会没完没了的,我将对TRANSISTER强制统一以数字编号了,如果用家非要改变,只得在生成库后手工修改。

    标签: Footprint Maker 0.08 FPM skill

    上传时间: 2018-01-10

    上传用户:digitzing

  • nios II 外部中断

    贴出来和大家分享一下,文中借鉴来自互联网和书籍 硬件平台:康草EP2C5-V5        FPGA :EP2C5Q208C8N               SDRAM:K4S641632k               Flash:JS28F640               4位led,输入0时亮      1位按键,有上拉,平时为高电平状态 软件平台:Microsoft Windows xp Professional sp3(深度D版)               Quartus II 10.0 SP1 Build: 262                Nios II IDE 10.0 SP1 Build: 262

    标签: nios II 外部中断

    上传时间: 2018-10-31

    上传用户:残红一号

  • F1C100s_Datasheet_V1.0

    全志多媒体芯片,是一款高度集成、低功耗的移动应用处理器,可广泛应用于各种多媒体音视频设备中。基于arm9架构,集成了DDR。它支持高清视频解码,

    标签: s_Datasheet_V F1C 100 1.0

    上传时间: 2020-12-18

    上传用户:

  • FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件

    FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。1 实验简介在前面的实验中我们练习了 SD 卡读写,VGA 视频显示等例程,本实验将 SD 卡里的 BMP 图片读出,写入到外部存储器,再通过 VGA、LCD 等显示。本实验如果通过液晶屏显示,需要有液晶屏模块。2 实验原理在前面的实验中我们在 VGA、LCD 上显示的是彩条,是 FPGA 内部产生的数据,本实验将彩条替换为 SD 内的 BMP 图片数据,但是 SD 卡读取速度远远不能满足显示速度的要求,只能先写入外部高速 RAM,再读出后给视频时序模块显示module top( input                       clk, input                       rst_n, input                       key1, output [5:0]                seg_sel, output [7:0]                seg_data, output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      sd_ncs,            //SD card chip select (SPI mode) output                      sd_dclk,           //SD card clock output                      sd_mosi,           //SD card controller data output input                       sd_miso,           //SD card controller data input output                      sdram_clk,         //sdram clock output                      sdram_cke,         //sdram clock enable output                      sdram_cs_n,        //sdram chip select output                      sdram_we_n,        //sdram write enable output                      sdram_cas_n,       //sdram column address strobe output                      sdram_ras_n,       //sdram row address strobe output[1:0]                 sdram_dqm,         //sdram data enable output[1:0]                 sdram_ba,          //sdram bank address output[12:0]                sdram_addr,        //sdram address inout[15:0]                 sdram_dq           //sdram data);parameter MEM_DATA_BITS         = 16  ;            //external memory user interface data widthparameter ADDR_BITS             = 24  

    标签: fpga

    上传时间: 2021-10-27

    上传用户:ibeikeleilei

  • NUC980 硬件设计指南

    NUC980 是新唐推出的工业控制物联网系列处理器. NUC980 系列采用 ARM926EJ-S 核心,执行速度高达 300 MHz ,有 LQFP64、LQFN128、LQFN216 3 种封装,堆迭 64 MB 或 128 MB DDR-II 记忆体于同一封装。该文档是 nuc980 硬件设计手册,包含如下内容:1、NUC980 电源部分电路设计2、NUC980 复位部分电路设计3、NUC980 上电、下电时序4、时钟电路设计5、EBI(外部总线接口)、ADC、USB、网络、摄像头、QSPI、CAN、SPI、I2S、uart等外设原理图、layout 设计。文件末尾还有参考设计原理图

    标签: nuc980 硬件

    上传时间: 2021-10-27

    上传用户:默默

  • iMX214 1300万摄像头

    iMX214  1300万摄像头 带DDR。

    标签: imx214 摄像头

    上传时间: 2021-11-05

    上传用户:yiyewumian

  • 高分辨率液晶显示控制芯片RA8889ML3N内置AVI视频解码

    RA8889ML3N是一款低功耗及显示功能强大的彩色 TFT 控制器,内部具有内存 SDRAM,为了可以快速为显示内存进行屏幕更新, RA8889 支持 MCU 端 8080/6800 8/16-bit 异步并列接口与 3/4 线 SPI 及 IIC串行接口,提供多段的显示内存缓冲区段,并提供画中画 (PIP)、透明度控制与显示旋转镜像及内建 JPEG & AVI 视频解码功能,支持AVI显示的自动播放、暂停和停止功能。*RA8889ML3N支持 16/18/24-bit CMOS 接口屏幕 *RA8889ML3N支持以下分辨率,最大可支持1366X800像素:

    标签: 液晶显示 控制芯片

    上传时间: 2021-12-08

    上传用户:jason_vip1

  • FPGA读取OV5640摄像头数据并通过VGA或LCD屏显示输出的Verilog逻辑源码Quartu

    FPGA读取OV5640摄像头数据并通过VGA或LCD屏显示输出的Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, output                      cmos_scl,          //cmos i2c clock inout                       cmos_sda,          //cmos i2c data input                       cmos_vsync,        //cmos vsync input                       cmos_href,         //cmos hsync refrence,data valid input                       cmos_pclk,         //cmos pxiel clock output                      cmos_xclk,         //cmos externl clock input   [7:0]               cmos_db,           //cmos data output                      cmos_rst_n,        //cmos reset output                      cmos_pwdn,         //cmos power down output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      sdram_clk,         //sdram clock output                      sdram_cke,         //sdram clock enable output                      sdram_cs_n,        //sdram chip select output                      sdram_we_n,        //sdram write enable output                      sdram_cas_n,       //sdram column address strobe output                      sdram_ras_n,       //sdram row address strobe output[1:0]                 sdram_dqm,         //sdram data enable output[1:0]                 sdram_ba,          //sdram bank address output[12:0]                sdram_addr,        //sdram address inout[15:0]                 sdram_dq           //sdram data);

    标签: fpga ov5640 摄像头

    上传时间: 2021-12-18

    上传用户:yiyewumian