一.產品描述 提供8個觸摸感應按鍵,二進制(BCD)編碼輸出,具有一個按鍵承認輸出的顯示,按鍵後的資料會維持到下次按鍵,可先判斷按鍵承認的狀態。提供低功耗模式,可使用於電池應用的產品。對於防水和抗干擾方面有很優異的表現! 二.產品特色 1.工作電壓範圍:3.1V – 5.5V 2. 工作電流: 3mA (正常模式);15 uA (休眠模式) @5V 3. 8 個觸摸感應按鍵 4.持續無按鍵 4 秒,進入休眠模式 5. 提供二進制(BCD)編碼直接輸出介面(上電 D2~D0/111) 6. 按鍵後離開,輸出狀態會維持到下次按鍵才會改變。 7. 提供按鍵承認有效輸出,當有按鍵時輸出低電平,無按鍵為高電平。 8. 可以經由調整 CAP 腳的外接電容,調整靈敏度,電容越大靈敏度越高 9. 具有防水及水漫成片水珠覆蓋在觸摸按鍵面板,按鍵仍可有效判別 10. 內建 LDO 增加電源的抗干擾能力 三.產品應用 各種大小家電,娛樂產品 四.功能描述 1.VK3708BM 於手指按壓觸摸盤,在 60ms 內輸出對應按鍵的狀態。 2.單鍵優先判斷輸出方式處理, 如果 K1 已經承認了, 需要等 K1 放開後, 其他按鍵才能再被承認,同時間只有一個按鍵狀態會被輸出。 3.具有防呆措施, 若是按鍵有效輸出連續超過 10 秒, 就會做復位。 4.環境調適功能,可隨環境的溫濕度變化調整參考值,確保按鍵判斷工作正常。 5.可分辨水與手指的差異,對水漫與水珠覆蓋按鍵觸摸盤,仍可正確判斷按鍵動作。但水不可於按鍵觸摸盤上形成“水柱”,若如此則如同手按鍵一般,會有按鍵承認輸出。 6.內建 LDO 及抗電源雜訊的處理程序,對電源漣波的干擾有很好的耐受能力。 7.不使用的按鍵請接地,避免太過靈敏而產生誤動。 联系人:许硕 QQ:191 888 5898 联系电话:188 9858 2398(微信)
标签: KEYS 3708 SOP 16 BM VK 抗干扰 防水 省电
上传时间: 2019-08-08
上传用户:szqxw1688
PCIe规范各版本合集,包括PCI_Express_Base 1.0a、2.0、2.1、3.0、4.0、5.0 六个版本
标签: pcie
上传时间: 2021-10-24
上传用户:
L6203直流电机驱动板模块ALTIUM设计硬件原理图+PCB文件,2层板设计,大小为66x33mm,Altium Designer 设计的工程文件,包括原理图及PCB文件,可以用Altium(AD)软件打开或修改,可作为你的产品设计的参考。
上传时间: 2021-11-17
上传用户:
FPGA片内FIFO读写测试Verilog逻辑源码Quartus工程文件+文档说明,使用 FPGA 内部的 FIFO 以及程序对该 FIFO 的数据读写操作。FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////module fifo_test( input clk, //50MHz时钟 input rst_n //复位信号,低电平有效 );//-----------------------------------------------------------localparam W_IDLE = 1;localparam W_FIFO = 2; localparam R_IDLE = 1;localparam R_FIFO = 2; reg[2:0] write_state;reg[2:0] next_write_state;reg[2:0] read_state;reg[2:0] next_read_state;reg[15:0] w_data; //FIFO写数据wire wr_en; //FIFO写使能wire rd_en; //FIFO读使能wire[15:0] r_data; //FIFO读数据wire full; //FIFO满信号 wire empty; //FIFO空信号 wire[8:0] rd_data_count; wire[8:0] wr_data_count; ///产生FIFO写入的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) write_state <= W_IDLE; else write_state <= next_write_state;endalways@(*)begin case(write_state) W_IDLE: if(empty == 1'b1) //FIFO空, 开始写FIFO next_write_state <= W_FIFO; else next_write_state <= W_IDLE; W_FIFO: if(full == 1'b1) //FIFO满 next_write_state <= W_IDLE; else next_write_state <= W_FIFO; default: next_write_state <= W_IDLE; endcaseendassign wr_en = (next_write_state == W_FIFO) ? 1'b1 : 1'b0; always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) w_data <= 16'D0; else if (wr_en == 1'b1) w_data <= w_data + 1'b1; else w_data <= 16'D0; end///产生FIFO读的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) read_state <= R_IDLE; else read_state <= next_read_state;endalways@(*)begin case(read_state) R_IDLE: if(full == 1'b1) //FIFO满, 开始读FIFO next_read_state <= R_FIFO; else next_read_state <= R_IDLE; R_FIFO: if(empty == 1'b1)
上传时间: 2021-12-19
上传用户:20125101110
verilog实现I2C通信的slave模块源码状态机设位计可做I2C接口的仿真模型//`timescale 1ns/1psmodule I2C_slv (input [6:0] slv_id,input RESET,input scl_i, //I2C clkinput sda_i, //I2C data ininput [7:0] I2C_RDDATA,////////////////////////output reg sda_o, //I2C data outoutput reg reg_w, //reg write enable pulse (1T of scl_i)output reg [7:0] I2C_ADDR,output reg [7:0] I2C_DATA); parameter ST_ADDR = 4'D0; parameter ST_ACK = 4'd1; parameter ST_WDATA1 = 4'd2; parameter ST_WACK1 = 4'd3; parameter ST_WDATA2 = 4'd4; parameter ST_WACK2 = 4'd5; parameter ST_WDATA3 = 4'd6; parameter ST_WACK3 = 4'd7; parameter ST_RDATA1 = 4'd8; parameter ST_RACK1 = 4'd9; parameter ST_IDLE = 4'd15;//---------------------------------------------------------------------------// Signal Declaration//--------------------------------------------------------------------------- reg i2c_start_n, i2c_stop_n; //wire RESET_scl; wire i2c_stp_n, i2c_RESET; reg [3:0] i2c_cs, i2c_ns; reg [3:0] cnt_bit; reg [7:0] d_vec; reg i2c_rd, i2c_ack; reg [7:0] I2C_RDDATA_latch;
上传时间: 2022-02-03
上传用户:
链塔智库_华为区块链白皮书深度解读
上传时间: 2022-03-11
上传用户:trh505
ADS1256 是TI(Texas I nstruments )公司推出的一款低噪声高分辨率的24 位Si gma - Delta("- #)模数转换器(ADC)。"- #ADC 与传统的逐次逼近型和积分型ADC 相比有转换误差小而价格低廉的优点,但由于受带宽和有效采样率的限制,"- #ADC 不适用于高频数据采集的场合。该款ADS1256 可适合于采集最高频率只有几千赫兹的模拟数据的系统中,数据输出速率最高可为30K 采样点/秒(SPS),有完善的自校正和系统校正系统, SPI 串行数据传输接口。本文结合笔者自己的应用经验,对该ADC 的基本原理以及应用做简要介绍。ADs1256 的总体电气特性下面介绍在使用ADs1256 的过程中要注意的一些电气方面的具体参数:模拟电源(AVDD )输入范围+ 4 . 75V !+ 5 .25V,使用的典型值为+ 5 .00V;数字电源(DVDD )输入范围+ 1 . 8V !+ 3 .6V,使用的典型值+ 3 .3V;参考电压值(VREF= VREFP- VREFN)的范围+ 0 .5V!+ 2 .6V,使用的典型值为+ 2 .5V;耗散功率最大为57mW;每个模拟输入端(AI N0 !7 和AI NC M)相对于模拟地(AGND)的绝对电压值范围在输入缓冲器(BUFFER)关闭的时候为AGND-0 .1 !AVDD+ 0 . 1 ,在输入缓冲器打开的时候为AGND !AVDD-2 .0 ;满刻度差分模拟输入电压值(VI N = AI NP -AI NN)为+ /-(2VREF/PGA);数字输入逻辑高电平范围0 .8DVDD!5 .25V(除D0 !D3 的输入点平不可超过DVDD 外),逻辑低点平范围DGND!0 .2DVDD;数字输出逻辑高电平下限为0 .8DVDD,逻辑低电平上限为0 .2DVDD,输出电流典型值为5mA;主时钟频率由外部晶体振荡器提供给XTAL1和XTAL2 时,要求范围为2 M!10 MHz ,仅由CLKI N 输入提供时,范围为0 .1 M!10 MHz 。
上传时间: 2022-06-10
上传用户:
1553b的编解码源程序 和仿真程序
上传时间: 2022-06-25
上传用户:
LDPC码的FPGA实现 LDPC码的FPGA实现
上传时间: 2022-06-27
上传用户:
VIP专区-嵌入式/单片机编程源码精选合集系列(76)资源包含以下内容:1. stc12c的AD处理.2. 这是keil公司的mcm2300开发板上的.3. 一些关于SOPC,NIOS设计的论文,可以作毕业设计参考.4. 远程数据访问RDA等.5. 基于lpc2148的按键扫描程序.6. spce061a实现的键盘扫描程序.7. SPCE061A实现的LED拉幕显示效果.8. SPCE061A中位操作头文件.9. SPCE061A+SPCL051液晶显示汉字程序.10. keil.11. 采用LPC935控制的一个项目.12. 采用MPS430控制的项目.13. mm36sb020的spi的接口,已经调试过的 /* 定义命令 *//* #define ERSC 0x90f6 // 檫除整个芯片 // #define SRC 0xfffffffe //.14. LCD6963模块的驱动,可以显示汉字和图形. 线路图 89C51 T6963C -------- | 8 P1.0-1.7|=========== D0-7 | P3.0|--.15. ATmega16上面的ADC测试.16. 小液晶1602驱动程序.17. 基於C51的智能毫秒計, 精準到1ms, 含原理圖.18. NXP2103开发版图.19. 软件红外线接收程序 采用24MHz晶振.20. 串行口通信程序.21. 投票系统.22. 一担挑游戏.23. 组数游戏.24. 歌星大奖赛.25. VHDL mif file generator, which can generate several waves.26. uboot.27. 该程序是一个tffs文件系统的源码.28. 这是一个测试液晶显示的程序,测试LCD的亮灭.硬件连接如下: 3脚接偏置电阻,接个10K的可调,.RS4,RW5,E6脚接P3^3,P3^2,P3^1,程序头部有定义可根据实际修改.29. uart5/atmega8515/led 时钟显示.30. 逆变器原理图.31. 基于LPC2210的FFT程序.32. 这是我读研的时候用VB开发的一个与基于CAN-PCI5121的通讯小程序.33. 这是基于CAN-PCI5121开发的通讯程序.34. 将每一个声源加到混音缓冲器,经过处理后返回.35. 处理声源,时间,做好各类资源的调整工作,为声音的输入输出做准备..36. NiosII培训资料,主要讲述如何操作NIOS,对初学者帮助较大..37. 当前流行的802.15.4ZIGBEE协议的应用开发流程.38. Zigbee应用开发介绍说明.39. 完整的空调控制程序.40. VMMforSystemVerilog的源码资料 学习SystemVerilog的好东西.
上传时间: 2013-05-19
上传用户:eeworm